Symposium Organizers
Isabelle Berbezier, Aix Marseille University
Jean-Noel Aqua, Universiteacute; Paris 6 - INSP
Jerrold Floro, University of Virginia
Andrej Kuznetsov, University of Oslo
R2: Nanostructuring Semiconductors
Session Chairs
Anne Delobbe
Fabrizio Arciprete
Tuesday PM, April 02, 2013
Moscone West, Level 2, Room 2016
2:30 AM - *R2.01
New Developments in Focused Ion Beam Technology
Anne Delobbe 1 Olivier Salord 1 Pierre Sudraud 1
1Orsay Physics Fuveau France
Show AbstractDue to its very large field of applications, the FIB (FOCUSED ION BEAM) constitutes a key technology in many scientific areas such as IC failure analysis, optoelectronics, nanoelectronics, editing, material science, earth science, space. Recently, biological and medical applications have exploded. The birth and development of FIB technology are linked to the invention of high brightness Gallium Liquid Metal Ion Source (LMIS) which still remains the most commonly used ion source.
Due to the evolution of the market, as well as escalating customer demands, the LMIS FIB is facing 2 main concerns: physical and chemical issues on one side and dimensional limitations on the other.
Concerning the physical and chemical issues even with the many advantages provided by the FIB Ga source, Ga implantation is often a problem in terms of electronic activity and chemical contamination. In this talk we will present several new developments in source alternatives to overcome these issues: noble gas source or alloy sources as examples.
Second, improvements are mandated on both sides of the dimensional range that is achievable with conventional Ga FIB: very small dimensional access and large volume material removal. There is clearly a trend to shrink microelectronic device geometries in order to reduce power consumption and to develop faster design technology.
Conversely, leading edge packaging format are now stacking die and the third dimension is quickly increasing which will require new higher milling capabilities. To meet these new market requirements manufacturers will need to develop both new source alternative as well as new column technology to utilize these new source initiatives.
3:00 AM - R2.02
Si Nanocrystals Embedded in an Amorphous SiNx Matrix: Evidences of Partial Crystallization and Limited Phase Separation after High Temperature Annealing
Jeremy Barbe 1 2 Kremena Makasheva 1 3 Simon Perraud 2 Marzia Carrada 4 Bernard Despax 1 3
1Universitamp;#233; de Toulouse, UPS, INPT, LAPLACE (Laboratoire Plasma et Conversion d'Energie) Toulouse France2CEA, Liten Grenoble France3CNRS, LAPLACE Toulouse France4CEMES-CNRS Toulouse France
Show AbstractSilicon nanocrystals (Si-nc) embedded in insulating or semiconducting matrices (SiO2, Si3N4, SiC) are promising structures for the realization of efficient photovoltaics, light sources or non-volatile memory devices. However, the structure development requires controlling both the Si-nc and the matrix properties. In this work, we show that the phase separation between Si3N4 and silicon nanoparticles in a Si-rich SiNx thin-film, as well as the crystallization of Si excess, is limited during high temperature annealing. Silicon nitride alloy (SiNx) thin films with an excess of silicon (x < 1.33) were prepared by pulsed plasma enhanced chemical vapor deposition from silane, ammonia and helium mixtures. The formation of silicon nanocrystals after 1h annealing at 1100°C was proved by transmission electron microscopy (TEM) and Raman spectroscopy. The Si-nc mean diameter was estimated from the high resolution TEM images and from the blue-shift of the c-Si transverse optical mode in the Raman spectra with excellent agreement. However, the deconvolution of the Raman spectra revealed that a large fraction of amorphous silicon is still present in the film even after annealing, suggesting a partial segregation between the SiNx matrix and Si-nc. The nanocomposite films were then systematically studied by spectroscopic ellipsometry (SE). In order to extract the value of film thickness, optical indices of the matrix and the volume fraction of component, the ellipsometric measurements were modeled by combining the Bruggeman effective medium approximation (BEMA) with the Forouhi-Bloomer dispersion law. From the high refractive index of the matrix, we could deduce that the SiNx matrix contained a high content of silicon excess with respect to stoichiometric Si3N4, as observed in Raman spectroscopy. These results were confirmed by X-ray photoelectron spectroscopy (XPS): the Si 2p core-level spectra showed all the possible Si-Si4-nNn (0 le; n le; 4) bonding configurations as an indication of the partial segregation of the material during annealing and the high content of silicon in the SiNx matrix. Finally, in order to obtain a better phase separation between the nitride matrix and Si-nc, a multilayer structure of 14 near-stoichiometric SiNx/amorphous silicon layers was elaborated. After annealing of the sample, TEM images revealed the crystallization of a large volume fraction of the Si layers and showed a clear polycrystalline structure. However, the ellipsometric and Raman measurements indicated the presence of residual amorphous silicon in these layers. The limited phase separation in the SiNx layers and the partial crystallization in the multilayers can be explained by a low diffusion coefficient of Si in silicon nitride and stress effects due to Si3N4 layers respectively.
3:15 AM - R2.03
Synthesis and Compositional Control of Size Monodisperse SixGe1-x Nanocrystals for Optoelectronic Applications
Daithi O Se 1 Darragh Carolan 1 Keith Linehan 1 Hugh Doyle 1
1University College Cork Cork Ireland
Show AbstractThe synthesis of Group IV nanocrystals (NCs) has been intensively pursued in recent years, not only for fundamental scientific interest in their size-dependent optical properties, but also for their many technological applications. However, despite this interest, the tuning of the band gap energies (and thus absorption and emission properties) of Group IV NCs is not as well-developed as for III-V and IIminus;VI semiconductor materials. Current approaches to modifying the composition of the NCs to improve their properties are based on either doping or alloying. However, doping of NCs can be problematic, because a small number of dopant atoms per NC may correspond to a very high doping level compared to that used in bulk semiconductors. Alloying involves significantly varying the composition of the NCs to change their properties. In contrast to doping, alloying involves mixing materials of the same electronic valency, to smoothly vary the properties from those of one material to another.
Here we report the solution-phase synthesis and characterization of size monodisperse alloy SixGe1-x NCs dispersed in non-polar solvents with core diameters of 1 -5 nm. Alloy NCs were synthesized under inert atmospheric conditions via the co-reduction of Si and Ge halide salts (SiX4, GeX4) by hydride reducing agents within inverse micelles. Composition of the silicon-germanium nanocrystals was carried out by varying the relative amounts of precursor, while the NC size is controlled by variation of the cationic quaternary ammonium salts used. Covalent attachment of alkyl- or amine-terminated monolayers to the nanocrystal surface produced NCs that stable under ambient atmospheric and lighting conditions over a period of months, which were readily dispersed in a variety of solvents. Transmission electron microscopy (TEM) imaging confirmed that the NCs are highly crystalline with a narrow size distribution; the crystal structure was confirmed by selected area electron diffraction (SAED). Energy dispersive X-ray spectroscopy (EDX) was used to quantify the relative amounts and distribution of Si and Ge within the NCs. UV-Visible absorbance (UV-Vis) and photoluminescence spectroscopy (PL) showed strong significant quantum confinement effects, with moderate absorption in the UV spectral range, and strong emission in the blue, which varied with composition. The photoluminescence quantum yield (Phi;f) of the alloy NCs showed an inverse relationship with the NC core diameter, with a maximum over 10% measured for smaller NCs.
This work was supported by the European Commission under the FP7 Security Projects SNAPSUN (grant agreement number 246310) and CommonSense (grant agreement number 261809) and the Irish Higher Education Authority under the PRTLI program (Cycle 3 “Nanoscience” and Cycle 4 “INSPIRE”).
3:30 AM - *R2.04
Ion-beam Induced Molecular-beam Epitaxy and Laser Annealing of Quantum Dot Nanostructures
Anatoly V. Dvurechenskii 1 2
1Rzhanov Institute of Semiconductor Physics Novosibirsk Russian Federation2Novosibirsk State University Novosibirsk Russian Federation
Show AbstractMolecular-beam epitaxy (MBE) in conjunction with ion beam processing of materials (usually a few hundred electron-volt energy range) shows some important advantages: the lowering of temperature of epitaxial growth; the improving of surface flatness; the increasing of introduction probability of atoms into the surface layer of solid substrate; the changing of growth mode. The fundamentals of ion-beam action on film growth and it's properties consist in ion-beam induced new phase nucleation/dissociation, surface reconstruction, enhanced diffusion. The ion-beam parameters variation (the energy, current density, continuous/pulsed irradiation) enabled to additional control of the film growth. The substantial contribution to understanding of molecular-ion-beam epitaxy provide low energy pulsed ion beam action.
The aim of the present work is to review present and future trends of research on quantum dot (QD) nanostructured semiconductors from fundamental issues of synthesis allowing: a) to improve QD homogeneity in size with keeping form and elemental content; b) to control QD density and 3D QD space ordering; c) to lower the defect density. Spontaneous nucleation of nanocrystals at heteroepitaxy of lattice mismatched semiconductors is described by: a) the dispersion in size averaged with 17-20% for (as example) Ge QD in Si; b) the random nanocrystal nucleation and as a result random arrangement in growth plane. The low energy (about 100 eV) pulsed ion-beam action during MBE was found to improve noticeable QD size dispersion and to increase QD density as compared with common MBE. The QD ordering in space was done by epitaxy on ion-beam induced pit-patterned substrates and effect of vertical alignment of nanocrystal nucleation. The epitaxy on pin-patterned surface allows to reduce Ge size dispersion and QD ordering in the plane of growth. 3D QD crystal formation was obtained with vertical alignment of nanocrystal nucleation at multilayer growth of nanostructure. The strain induced epitaxy in heterophase system was studied to clarify the mechanism of QD nucleation and growth.
Other approach to eliminate QD nonuniformity in as grown nanostructure is based on using pulsed laser annealing of QD in order to improve their structural and electrical properties. Pulsed laser annealing was made in QD nanostructures where heteropair materials have taken with higher melting point for crystal matrix as compared with melting point of QD materials. It can be realized for many usually used heteropairs: Si/Ge, GaAs/InAs, GaN/InN, GaP/InP. The melting temperature was found strongly depend on nanocrystal size: melting temperature is increasing as nanocrystal size goes down. The high-melting crystal matrix easier keep QD crystal structure for smaller QD size. It is fundamental background to improve quantum dot size dispersion at laser annealing of QD nanostructures.
4:15 AM - *R2.05
Asymmetric Nucleation of InAs Quantum Dots on GaAs Driven by As Kinetics
Fabrizio Arciprete 1 Ernesto Placidi 2 1 Rita Magri 3 Massimo Fanfoni 1 Adalberto Balzarotti 1 Fulvia Patella 1
1University of Rome Tor Vergata Rome Italy2CNR Rome Italy3University of Modena and Reggio Emilia Modena Italy
Show AbstractAdvances in new generation devices for Nanophotonics and Quantum Information based on III-V Quantum Dots (QDs) rely on the capability to accurately control their size and lateral position on the substrate surface. In recent years, much experimental effort has been devoted to the search of methods to achieve position control of QDs. For example, standard lithography has been intensely studied, however, albeit selective and flexible, it introduces defects that may severely decrease the emission efficiency of QDs and the performance of the device. Alternatively, a significant and appealing challenge would be to control the islands' position by a careful tailoring of the growth process.
In this work, we present a new Molecular Beam Epitaxy approach to obtain self-organization of InAs QDs on GaAs(001) that is based on an unexpected key role played by the element V. We show that, at relatively high growth temperatures, QDs alignment along oriented step bunching of mounds generated on the substrate can be deterministically controlled as a function of the As flux direction, so as to obtain an almost completely anisotropic surface distribution of QDs on the mounds. Generally, the role of As is neglected and the growth of GaAs and similar compounds is modeled as a simple one-component case. To the contrary, we show experimentally, by means of a suitable choice of the kinetic growth parameters, and theoretically, by developing a two-species rate equation model that the As role is crucial in the islands' stabilization and positioning [1]. In particular, the selectivity in the positioning of quantum dots is traced back to the directional diffusion of As dimers in a precursor physisorbed state before being incorporated. The potential of the new approach in driving the lateral ordering of QDs is highlighted in the growth of multilayer structures built by stacking alternating layers of InAs and GaAs. The achievement of regularly spaced rows of QDs along the [-110] direction is demonstrated [2], the number of rows being directly determined by the number of InAs layers. A phenomenological description of the multilayer stacking, based on elastic stress field propagation and formation of step bunches is proposed to explain the results.
A comparable behavior is expected for other compound semiconductors for which the adsorption kinetics of one of the elements involves the existence of a highly mobile precursor state. The results here presented may open new perspectives in the design of the lateral positioning of QDs and, more generally, in the self-assembling of nanostructures.
[1] F.Arciprete, E.Placidi, R.Magri, M.Fanfoni, A.Balzarotti, and F.Patella, submitted to ACS Nano
[3] E.Placidi, F.Arciprete, M.Fanfoni, A.Balzarotti, and F.Patella, submitted to ACS Nano.
4:45 AM - R2.06
Phototropic Growth of Semiconductor Nanostructures
Bryce Sadtler 1 Nicolas A Batara 2 Stanley P Burgos 2 Joseph A Beardslee 1 Harry A Atwater 2 3 4 Nathan S Lewis 1 3 4
1California Institute of Technology Pasadena USA2California Institute of Technology Pasadena USA3California Institute of Technology Pasadena USA4California Institute of Technology Pasadena USA
Show AbstractWe demonstrate that electrodeposited films composed of a selenium-tellurium alloy spontaneously develop nanoscale lamellar patterns when grown under uniform illumination. These semiconductor nanostructures exhibit phototropic growth where the lamellae features grow towards the source of illumination, and the growth rate increases with increasing light intensity. The lamellae patterns orient parallel to the polarization direction of the incident illumination, and the wavelength of light controls the lamellae pitch, which can be varied from 150 nm for ultraviolet illumination to 350 nm for near-infrared light. The aspect ratio of the lamellar features is determined by the ratio of the photocurrent contribution to growth rate as determined by the light intensity relative to the dark current contribution as determined by the applied potential. Highly anisotropic structures, exhibiting lamellae heights of 1000 nm and widths of 150 nm, can be formed under incident light intensities of 15 mW/cm2 and an applied potential of -0.4 V versus a standard calomel reference electrode. Full-wave finite differential time domain (FDTD) simulations were used to model light-matter interactions in the photoresponsive selenium-tellurium films. These simulations indicate that scattering of the incident illumination on the surface of an initially flat film produce a periodic modulation in the near field light intensity. Regions of higher local electromagnetic intensity lead to enhanced growth of the phototropic material. FDTD simulations of the optical response of the selenium-tellurium nanostructures were combined with a Monte Carlo growth model to elucidate the morphological evolution of the structures under plane wave illumination. These simulations indicate that as nanoscale features develop, the illumination conditions reinforce a particular topology by establishing the near field intensity structure. Furthermore, the patterns respond dynamically to changes in the illumination conditions, enabling the formation of complex morphologies such as woodpile, spiral, and branched structures. This light-mediated growth techniques offers the potential to tailor the optical response of semiconductor nanostructures for applications in optoelectronic devices through feedback between the illumination conditions and growth.
5:00 AM - R2.07
Template-free, Light-mediated Electrodeposition of CdSe Nanostructures from Aqueous Solution at Room Temperature
Azhar Carim 1 Nathan Lewis 1
1California Institute of Technology Pasadena USA
Show AbstractPhotolithography employed to fabricate nanostructures involves exposing a photoresist to a static light intensity pattern to generate a template prior to materials synthesis. Fabrication of various intricate, three-dimensional architectures can thus necessitate many lithographic processing cycles requiring the generation of multiple physical masks. Control of structure during continuous and direct material synthesis can enable more facile generation of complex designs tailored for specific functions. Here, a tunable light-mediated deposition process that produces cadmium selenide (CdSe) films with nanostructured morphologies that are a function of the instantaneous illumination and electro-/chemical parameters is presented. Nanowire and nano-lamellar structures are selectively generated. Electrodeposition is performed in aqueous solution at room temperature without the use of any physical or chemical templating agents. Eventual materials application is unhindered by any requirement to remove physical templates, capping agents or synthetic byproducts. Deposition is rapid: micron-thick films are generated in < 10 min. Growth is absent at modest reduction potentials, permitting area-selective deposition, and unpatterned at increasingly negative potentials. Wire growth rate is a function of light intensity and nucleation density is controlled by the applied potential. Nano-lamellar structures are oriented perpendicular to the polarization vector of the incident light and periodicity is a function of wavelength. Deposit composition and crystallinity are confirmed by offline Raman microprobe analysis and in-situ monitoring of the growth process is under way. Finite-difference time-domain simulations indicate that growth of the nano-lamellar structure is directed initially by an interference profile generated by dipole-scattering of light in the film: deposition is accelerated in areas of positive interference. Later, resonant enhancement of light absorption at the tips of the lamella reinforces the pattern. Nanowire growth is hypothesized to follow a mechanism that relies on the same underpinning of enhanced deposition rate in areas of greatest total light absorption. This light-mediated electrodeposition technique opens up the possibility for optimizing the absorption profile of a material by using light in a feedback loop to evolve the structure of the deposit.
5:15 AM - R2.08
Preparation of p-type Zinc Oxide Semiconductor Thin Films by Gold Doping
Chenlin Zhao 1 3 Nigel Shepherd 2 Jeremiah T Abiade 3 1
1Virginia Tech Blacksburg USA2University of North Texas Denton USA3University of Illinois at Chicago Chicago USA
Show Abstractn-type zinc oxide (ZnO) can be easily obtained by doping with group-III or VII elements. However, p-type ZnO is difficult to prepare because of formation of native donor defects like oxygen vacancies and zinc interstitials. Group-IB elements such as Au and Ag have been suggested for p-type doping in ZnO due to their similarity in ionic radii with Zn, which makes doping of substitutional sites preferable. In this talk, we report recent results on the efforts to obtain p-type ZnO by alternate ablation of Au and ZnO targets on c-plane sapphire substrates during pulsed laser deposition (PLD). The p-type characteristics of Au-doped ZnO holes were confirmed by Hall Effect measurements. The carrier concentration and mobilities were ~ 1017 to 1020 cmminus;3 and ~0.05 to 0.20 cm2 Vminus;1 sminus;1 respectively. The structural and electrical characteristics as obtained by transmission electron microscopy, photoluminescence spectroscopy and x-ray photoelectron spectroscopy will be discussed.
5:30 AM - R2.09
Direct Laser Pruning of CdSxSe1-x Nanobelts En Route to Multicolored Pattern with Controlled Functionalities
Junpeng Lu 1
1National University of Singapore Singapore Singapore
Show AbstractCdSxSe1-x nanobelts are interesting nanostructured materials with tunable band gap from 1.7 eV to 2.4 eV depending on their stoichiometry. These nanobelts give out strong photoluminescence with unique color depending on their chemical compositions. In this work, we present a facile and effective focused laser pruning method that can controllably modify the chemical composition of the nanobelts. In doing so, we can control the photoluminescence properties of the nanobelts. This is a surprising result considering the more conventional destructive nature of the focused laser beam. With a scanning focused laser beam, multicolored micropatterns can be fabricated on an extended area on a substrate covered with the nanobelts. Moreover, the fabrication of the micropatterns does not require the use of any pre-defined mask. Hence this method reduces the risks of physical damage and chemical contamination to the sample. The possible chemical modifications were systematically investigated by photoluminescence spectroscopy, energy-dispersive spectroscopy, X-ray photoelectron spectroscopy and X-ray diffractometry. In addition, the laser modified nanobelts showed higher resistance to acid corrosion and these nanobelts exhibited more superior photoconductivity. The construction of micropatterns with functionality/color control within the sample would provide greater building blocks for photoelectronic applications.
5:45 AM - R2.10
Quantum Confinement Effects and Coulomb Blockade in Single Nanodiamonds
Cecile Saguy 1
1Technion- Israel Institute of Technology Haifa Israel
Show AbstractNano-particles exhibiting quantum size effects provide a platform for numerous applications once the size dependence of their electronic and optical properties is known. The production of nanodiamonds with superior mechanical, thermal, optical and electrical properties opens up the possibility to use these small gems in tribology, optic, bio-technology, medicine and quantum computing. In addition, nanodiamonds, once smaller than a few nm and isolated are potential candidates for quantum electronic devices. As quantum size effects are expected to strongly influence the electronic and optical properties of the nanodiamond based devices, it is essential to identify the grain size at which the transition from bulk properties to quantum confinement effects occurs. Till now, the answer to the question what size quantum effects set-in once diamond crystallites reach the nm regime, is unclear both experimentally and theoretically, Here, we provide the first clear experimental evidence of quantum confinement and Coulomb blockade effects in single isolated nanodiamonds smaller than 4.5nm by measuring their local electronic properties using scanning tunneling spectroscopy. We found that for grains smaller than 4.5nm, the band gap increases with decreasing the nanodiamond size and observe a clear, evenly spaced, twelve peak structure on the conduction band side of the conductance curves. We relate this structure to Coulomb blockade effect and to the 12 fold degeneracy of the first electron energy level in the weakly confined nanodiamond. By determining experimentally the position of the first energy levels and the single electron charging energies as a function of grain size, our results represent an important step towards the realization of diamond based nano scale devices.
R3: Poster Session
Session Chairs
Tuesday PM, April 02, 2013
Marriott Marquis, Yerba Buena Level, Salons 7-8-9
9:00 AM - R3.01
Synthesis of Ultrathin Micrometer Length Indium Sulfide 2D Nanosheets and Study of Their Dopant Induced Photo Response Properties
Shinjita Acharya 1
1Indian Association for the Cultivation of Science Kolkata India
Show AbstractSyntheses of anisotropic semiconductor nanomaterials have generated a lot of interest in the field of materials science because of their unique patterning ability to influence the physical and electronic properties as compared to the spherical particles in nano-dimension. Correlation between the colloidal synthesis of these anisotropic nanostructures and exploiting them for various applications ranging from optical to electronic remains in the frontier research area in recent days. Among these, 2D semiconductor nanostructures are especially important because of their large surface area and long range of lattice periodicity that provides better channel for carrier transportation, enhancing the efficiency of the devices. In addition, it is also well known that semiconductor nanomaterials, on being doped with suitable impurities can exhibit improved electrical properties e.g enhanced device sensitivity and speed. Combining both, we report here the synthesis of micro meter long In2S3 nanosheets and on doping them with Cu (I), we have studied here their photo response properties. Over the last few years, group III-VI semiconductor indium sulfide (In2S3) has widely been studied as they are lucrative materials for catalysis, photovoltaics, and solar cells based applications. Because of the defect spinel structure of β-In2S3, it acquires intrinsic vacant sites in the lattice, such vacancies exhibit electron affinity and can act as electron traps which justify their demand in device fabrication oriented research. Here, the highly crystalline 2D β-In2S3 nanosheets(~2-3 nm thickness) are synthesized in high temperature colloidal method following a catalytic thermal decomposition of single source precursor of In and S. From various Transmission electron microscopy (TEM), high resolution TEM (HRTEM) and high angle annular dark field (HAADF) images the growth pattern of these sheets are investigated and the obtained moiré fringes at the overlapped region are discussed. Modifying the synthetic protocol, we have also achieved success in controlling the thickness of the sheets. Controlled variation of the reaction parameters allows us to synthesize free floating and subnanometer thin (<1 nm) flexible non-assembled β-In2S3 nanosheets of very high aspect ratio which undergo wrinkling and folding on the TEM grid. The difference in the thickness of the sheets is manifested by their appearance on the TEM grid, though both of these are in cubic crystal phase. Finally, the role of dopant Cu in enhancing the electrical property of the nanosheets is explored and a comparative study of the device performance has been carried out with introducing different amounts of copper in these nanosheets. This entire work provides us an in-depth investigation of the fundamental crystal growth factors in solution and additionally helps us to fabricate a simple solution processed device free from toxic heavy elements like Cd and Pb.
9:00 AM - R3.02
Electrochemically Fabricated Nanovolcano Arrays for SERS Applications
Chun Kwan Tsang 1 Shiwei Shu Shu 1 Fexngxia Liang 1 Jie Zhang 1 Lingxia Zheng 1 Yang Yang Li 1
1City University of Hong Kong Hong Kong Hong Kong
Show AbstractNanovolcano arrays were fabricated by electrodepositing Ni/Cu alloys into a monolayer of self-assembled nanospheres and then electrochemically etching the deposited alloy film. The fabricated nanovolcano arrays feature highly ordered hexagonally arranged concave nanobowls decorated with triangular nanopores at their interstices. After coated with Ag, the nanovolcano arrays serve as high-performance substrates for surface enhancement Raman spectroscopy (SERS) measurements. The experimental
study shows that the structural features of the nanovolcano arrays, including concave nanobowls,nanopores, and their long range orders, all contribute to the observed strong SERS enhancement in a synergetic manner, which is further confirmed by thesimulation results obtained using the finite-difference time-domain method.
9:00 AM - R3.03
Synthesis of Light-emitting Nanostructures by Irradiation of Si/SiO2 Multilayers with Swift Heavy Ions
Gregory A. Kachurin 1 Svetlana G. Cherkova 1 2 Vladimir A. Volodin 1 2 Vladimir A. Skuratov 3 Alexandr G. Cherkov 1 2 Gennadi N. Kamaev 1 2 Alexandr H. Antonenko 1 2
1Institute of Semicoductor Physics Novosibirsk Russian Federation2Novosibirsk State University Novosibirsk Russian Federation3Joint Institute for Nuclear Research Dubna Russian Federation
Show AbstractSi is indispensable material in microelectronics technology, however it is not suitable for the fabrication of optoelectronic devices because of its indirect energy band. This physical limitation can be overcome with the use of Si nanocrystals (Si-ncs). Conventionally they are prepared by the high-temperature annealing of the Si-rich SiO2 layers. Unfortunately, this method doesn&’t allow to control independently their size and density. Recently it was proposed to employ the Si/SiO2 multilayers, where after annealing the dimensions of the synthesized Si-ncs would be defined by the thickness of the Si layers. However, the crystallization in the nm-thick Si layers is hampered due to the low probability of the crystal phase nucleation. We have made an attempt to stimulate crystallization by irradiation with swift heavy ions (SHI). When SHI penetrate in solid-state targets, their stopping in the near-surface layers occurs predominantly by the ionization losses. As a result, cylindrical tracks are forming with the nm-scale diameters, where the free carrier concentrations may reach sim;1022 cmminus;3. The temperature inside the tracks may exceed 5000 K for 10minus;11-10minus;10 s. Such extreme parameters are interesting for the material processing. The alternating Si and SiO2 nanolayers were prepared on the crystalline Si substrates. The thicknesses of Si layers have been made ~4 nm or ~8 nm, and for SiO2 layers it was ~12 nm. The Si/SiO2 heterostructures were irradiated with 167 MeV Xe ions to the doses ranging between 1012 cm-2 and 3.1014 cm-2. In the as-irradiated samples the photoluminescence (PL) bands appeared, correlating with the growth of the ion doses, and the cross-sectional high resolution electron microscopy found the layers to be partly disintegrated. For 4 nm-thick Si layers PL was peaking at ~490 nm, it reacted negatively to the H2 passivation, may be quenched by the moderate annealing and was ascribed to the structural imperfections. For the thicker Si layers the PL was peaking at ~ 600 nm and was attributed to the Si or Si-rich nanoinclusions in silicon oxide. The post-irradiation annealing of those heterostructures in N2 at 800 - 1100 oC increased the PL intensity and shifted the band to ~ 800 nm, typical of Si-ncs emission. Formation of the Si-ncs has been deduced from the Raman measurements. The increase in the post-annealing PL intensity followed the increase in the Xe dose. No PL was observed from the non-irradiated but annealed at 1100 oC Si/SiO2 structures. The difference in the response of the heterostructures with thin and thick Si layers to the SHI irradiation is explained by melting the material inside the tracks for 10-11 - 10-10 s due to the high ionization losses of the ions. Via a molten state interdiffusion the thicker Si layers provided more excess Si for formation of the light-emitting nanoinclusions.
9:00 AM - R3.04
Fabrication of ZnO Nano-size Hemispheres on Silicon Substrate without Patterning Process
Young-Seok Lee 1 Il-Kyu Park 1
1Yeungnam University Gyeongsan-Si Republic of Korea
Show AbstractWe report a hydrothermal method to control the morphology of hemisphere ZnO nanostructures (NSs) fabricated on a Si substrate by using surfactant additive molecules. The shape of ZnO NSs was controlled by the concentration of the trisodium citrate (TSC) added during the main growth step. With increasing the TSC concentration from 0 to 1.3 and 2.6 mM, the shape of ZnO NSs changed from a bundle of nanorods to a flower-like-shape composed of merged large hexagonal crystals, and finally to ZnO hemispheres. X-ray diffraction and photoluminescence spectroscopy revealed that the ZnO NSs had a wurtzite crystalline structure. The shape evolution mechanism of ZnO NSs could be explained using the surfactant-mediated growth model. The structural and optical investigations show that the morphology of ZnO NSs grown on Si substrates can be controlled by varying the concentration of additive TSC during hydrothermal growth. Overall, these controllable ZnO NSs can be a promising multi-functional novel architecture for advanced photovoltaic and optoelectronic device applications.
9:00 AM - R3.05
Polyhedral Oligomeric Silsesquioxane as a Ligand for CdSe Quantum Dots
Yu Wang 1 Andrey L. Rogach 1
1City University of Hong Kong Hong Kong Hong Kong
Show AbstractPolyhedral oligomeric silsesquioxane (POSS) has attracted a great deal of interest owing to its unique cage-like molecular structure containing an inorganic siloxane core surrounded by eight organic corner groups. Herein, we report the synthesis of CdSe quantum dots (QDs) using a mercapto-substituted polyhedral oligomeric silsesquioxane (SH-POSS). The bulky siloxane cage-like core of the ligand makes this an ideal steric stabilizer, and comparison with conventional branched alkyl phosphonic acid capped CdSe QDs shows SH-POSS capped materials to have superior optical properties including photoluminescence quantum efficiencies and fluorescence lifetimes. The open cage however is advantageous for the access of small electrolyte ions and electron transport from the surface of the nanocrystals, evidenced by better performance as a photosensitizer in conjunction with a titania nanotube array electron acceptor in comparison to the alkyl phosphonic acid stabilized QDs. The POSS-CdSe QDs introduced here may therefore be promising for a wide range of applications ranging from QD-based solar cells to memory devices.
9:00 AM - R3.06
Heteroepitaxial Fabrication and Structural Characterizations of Ultrathin GaN Nanotube Arrays
Sung Jin An 1
1Kumoh National Institute of Technology Gumi Republic of Korea
Show AbstractWe report on the heteroepitaxial fabrication and the structural characterizations of ultrafine GaN nanotube arrays. The ultrathin GaN nanotube arrays were fabricated by using epitaxial growth of a ultrathin GaN layer on ZnO nanoneedles and etching core Zno nanoneedles. Epitaxial growth of GaN and precise etcing control of core ZnO nanoneedles were obtained by using low-pressure metalorganic vapor-phase epitaxy. ZnO nanoneedles were grown on Si and sapphire substrates by using catalyst-free metal-organic chemical vapor deposition. Furthermore, the structural properties of the nanostructures were investigated using both synchrotron-radiation X-ray diffraction and highresolution transmission electron microscopy. The strain induced during the GaN deposition on ZnO was nearly sustained even after the removal of core ZnO.
9:00 AM - R3.07
Maximizing Phase Segregation in Silicon-containing Block Copolymers
Damien Montarnal 1 Yingdong Luo 1 Glenn H Fredrickson 1 2 Edward J Kramer 1 2 Craig J Hawker 1 3
1University Of California, Santa Barbara Santa Barbara USA2University Of California, Santa Barbara Santa Barbara USA3University Of California, Santa Barbara Santa Barbara USA
Show AbstractSilicon-containing block copolymers have recently received a lot of attention for the fabrication of organized structures with 5-100 nm features. Such copolymers provide a robust and highly selective mask for standard plasma etching techniques, and are particularly interesting for nanolithographic applications. In addition, silicon-containing monomers display a high Flory- Huggins (chi;) parameter with most organic monomers. This favors the phase-separation of block copolymers, even those with low molecular weights (< 15 kg/mol), into well-organized structures with small feature sizes.
We present here a strategy that uses additives to further enhance the segregation strength of polydimethylsiloxane (PDMS) based block copolymers. We first established a very general method for the synthesis of low molecular weight diblocks by coupling PDMS and various blocks. Strong and specific interactions between the additives and the non-PDMS block form a "supramolecular block" that shows enhanced incompatibility with PDMS.
This strategy allows for very versatile and accessible control over the segregation strength and the feature sizes of the assembly. We used SAXS to determine phase diagrams of the system and to quantify an effective chi; parameters between the "supramolecular block" and PDMS. Variation due to different fractions of additives and different non-PDMS blocks are discussed.
9:00 AM - R3.08
Self-catalytic Solution-liquid-solid Growth of Tapered SnS Nanorods
Ki-Hyun Cho 1 Min-Seok Kim 1 Yun-Mo Sung 1
1Korea University Seoul Republic of Korea
Show AbstractDue to the narrow band gap of IV-VI compound semiconductors, they have been applied to optical devices for the near-IR and IR spectra range and solar cells. SnS is one of the IV-VI semiconductors and it has either a direct band gap (1.3 eV) or an indirect band gap (1.09 eV). SnS nanowires or nanorods have been developed and thier structural and optical properties have been reported. Some of them were synthesized using chemical vapor deposition (CVD), anodic aluminum oxide (AAO), or surfactant-assisted methods, while most of them were synthesized using solvothermal treatments.
In this study, we for the first time report the self-catalytic solution-liquid-solid (SLS) growth of tapered SnS nanorods, and their growth mechanism was proposed. The mass transport behavior at the catalyst surface which generally occurs in the VLS process was employed to elucidate the growth mechanism of tapered SnS nanorods. Synthesized nanorods have diameters of ~30-50 nm and ~100-200 nm at the top near the catalyst and at the bottom, respectively. Without addition of catalyst nanoparticles, the growth of SnS nanorods was induced by Sn droplets. Spherical Sn tips existing at the end of the nanorods reveal the self-catalytic growth. Growth of SnS nanorods depends on the surface diffusion of Sn atoms from liquid Sn clusters to the Sn catalyst/SnS nanorod neck area and the S diffusion from the solution to the Sn catalysts. SnS nanorods showed a direct band gap of ~1.7 eV, determined using the Kubelka-Munk transformation. This self-catalytic SLS growth could produce high-quality and single-crystalline nanorods within 15 sec at 290 C. Also, it showed high production yield. Therefore, the self-catalytic SLS growth can be extended to the synthesis of other semiconductor nanorods.
9:00 AM - R3.09
The Effect of Surface Wetting on the Formation of CdSe Quantum Dots Rings
Arika Pravitasari 1 Jixin Chen 1 James Batteas 1
1Texas Aamp;M University COLLEGE STATION USA
Show AbstractHere we demonstrate that stepwise wetting and drying can eliminate the non-specific binding of CdSe semiconductor quantum dots (QDs) during the pattern array ring-formation. The QD rings were obtained by particle lithography method. Briefly, an aqueous solution of CdSe QDs and polystyrene (PS) microspheres was dried on a hydrophilic glass substrate leaving QD rings surrounding the removable microspheres. The self-assembly of the QDs is driven by the capillary force at the front of the drying meniscus under the microsphere. Since the capillary force is weak, QDs are often left behind meniscus as non-specific binding on the substrate surface. As such the wetting and drying processes was repeated to minimize the non-specific binding. Directly adding water to the dried pattern destroyed the pattern of the microsphere template, therefore the wetting procedure was achieved by cooling down the substrate to allow for condensation of thin layer of water on the glass slides. This thin layer of water will not affect the hexagonal pattern of the microspheres and QD rings. Different condensation time periods as well as condensation cycles were conducted for this study. In the case of prolonged condensation times, “over-wetting” that caused broken rings, dots, as well as dots in the rings structures formation were observed. We also demonstrated that laser photolithography can be used to tune the luminescence properties of the individual CdSe QDs rings to create an array of rings with a range of emission colors of 550-580 nm.
9:00 AM - R3.10
Unwrapping Core-shell Nanowires into Superflexible Semiconductor Nanoribbons
Alexander Pevzner 1 Guy Davidi 1 Ehud Havivi 1 Hagit Peretz 1 Zahava Barkay 2 Ronit Popovitz-Biro 3 Fernando Patolsky 1
1Tel Aviv University Tel Aviv Israel2Tel Aviv University Tel Aviv Israel3Weizmann Institute of Science Rehovot Israel
Show AbstractOver the last decade one-dimensional nanostructures have attracted considerable attention of the research community as promising building blocks for nanoscale devices, owing to their novel physical and chemical properties. In particular, nanoribbons are of major interest due to their geometrical shape, comprised of a rectangular cross-section on a nanometer scale that can provide unique properties for optical, mechanical and electrical devices. Several experiments on III-V and oxide semiconductor nanoribbons have already shown promising properties, such as the wave-guiding of photons, lasing action, nonlinear polarization and high mechanical flexibility. Although these approaches have been proven to be effective, the controlled synthesis of semiconductor nanoribbons still remains a challenge.
Herein, we demonstrate a simple, and yet robust, method for the fabrication of high quality single-crystal silicon nanoribbons by the unwrapping of core/shell nanostructures. The method is based on the controlled unfurling of germanium core/silicon shell nanowires by an anisotropic plasma step, followed by etching of the sacrificial germanium core, under wet or dry conditions. Our method allows tight control over the physical and chemical properties of the fabricated nanoribbons, such as lateral and horizontal dimensions, dopant concentration control along all directions, formation of multi-layered ribbons, orthogonal chemical modification of ribbon faces etc. Lastly, the method is not only limited to the fabrication of silicon nanoribbons, but can also be easily applied to a wide range of semiconductor materials.
9:00 AM - R3.12
Ag-doped Colloidal Semiconductor Nanocrystals
Moon Sung Kang 1 2 Ayaskanta Sahu 2 3 C. Daniel Frisbie 2 David J. Norris 3
1Soongsil Univ. Seoul Republic of Korea2University of Minnesota Minneapolis USA3ETH Zurich Zurich Switzerland
Show AbstractWe doped colloidal CdSe and PbSe semiconductor nanocrystals with Ag impurites and investigated their optical and electrical properties. Doping lead not only to dramatic changes but surprising complexity. The addition of just a few Ag atoms per CdSe nanocrystal caused a large enhancement in the fluorescence, reaching maximum efficiencies comparable to core-shell nanocrystals. While Ag was expected to be a substitutional acceptor, non-monoatomic trends in the fluorescence and Fermi level suggest that Ag changes from an interstitial (n-type) to a substitutional (p-type) impurity with increasing doping. In addition, temperature-dependent transport measurements were carried out using thin film transistors based on Ag-doped PbSe nanocrystal films. We observed shifts in the Fermi level due to doping. Also, the activation energy for hole transport was reduced with doping, which may result from a reduced Coulomb penalty for hopping.
9:00 AM - R3.14
Simple, Low Temperature Synthesis of Boron Nitride Nanotubes from Pyrolytic Boron Nitride
Clint Frye 1 K. Snow 1 K. Chinnathambi 2 J. Edgar 1
1Kansas State University Manhattan USA2Boise State University Boise USA
Show AbstractMulti-walled boron nitride nanotubes were synthesized by chemically etching pyrolytic boron nitride (pBN) in molten alkali salts. The resulting nanotubes densely covered the substrate and were attached to the pBN surface. The conditions necessary to form the nanotubes were explored. Pyrolytic boron nitride substrates were separately etched in pure sodium hydroxide and potassium hydroxide as well as in mixtures of the two alkalis over the temperature range of 330°C to 580°C for 15 seconds to 10 minutes. At temperatures of 400°C and greater, 90 seconds was sufficient time to grow nanotubes that were several microns long. After 2.5 minutes, the longest tubes were approximately 5 microns long and did not increase significantly for longer etch times. The diameter of the nanotubes ranged from 55 nm to 70 nm as determined by scanning electron microscopy and was not a strong function of temperature, etch time, or etchant composition. High resolution transmission electron microscopy revealed the tubular structure and confirmed the lattice spacing of boron nitride. The synthesis procedure is detailed and possible growth mechanisms are discussed.
9:00 AM - R3.15
Formation of Silicon Quantum Dots Embedded in Silicon Carbide
K. Jarolimek 1 C. A. van Diggelen 1 L. Xie 2 K. Leifer 2 R. A.C.M.M. van Swaaij 1 M. Zeman 1
1Delft University of Technology Delft Netherlands2Uppsala University Uppsala Sweden
Show AbstractNanocomposites based on Si quantum dots (QDs) attract a lot of interest due to possible applications in opto-electronic devices. One of the standard methods to fabricate Si QDs is the annealing of silicon compounds (SiO2, Si3N4, SiC) that contain an excess of silicon. Although this method is widely used, an understanding of the formation process is missing. Often researchers choose a limited set of preparation conditions. Here we present a more systematic approach where we take into account a wide range of annealing times, annealing temperatures and film compositions.
We focus our study on QDs embedded in silicon carbide (SiCx). The films are prepared with the plasma-enhanced chemical vapor deposition technique and are silicon-rich. As-deposited layers are subsequently annealed in a conventional furnace at relatively high temperatures. The annealing time varied from 5 minutes to 18 hours, while the annealing temperatures were 900, 1000 or 1100 °C. The carbon content of the as-deposited layers was in the range of 10 to 50 at. %.
The QDs form due to phase separation of SiCx into pure Si and stoichiometric SiC. Transmission electron microscopy (TEM) reveals that the as-deposited layers contain Si QDs that are mostly amorphous. Although this is somewhat unexpected, it appears the material partially phase separates already during the deposition. An additional process during annealing is the crystallization of the QDs. Therefore the films were also analyzed with Raman spectroscopy, which allows us to measure the crystalline fraction. This is the amount of Si atoms in the crystalline phase with respect to Si atoms in the amorphous phase. The crystalline fraction increases fast in the first 2 hours of annealing and then saturates. TEM imaging suggests that this increase is caused by the formation of new QDs. For example a sample annealed for 20 minutes at 1100 °C contains 1.6 times more QDs than the as-deposited sample.
We also find that samples containing more carbon result in lower saturated crystalline fractions. It appears that carbon impedes the formation of Si QDs. This finding is in line with literature values on self-diffusion in SiC crystals. The diffusion coefficients of Si and C atoms in SiC crystals are much lower than in the Si crystal. Thus a SiCx matrix that contains a lot of carbon acts as a barrier for further diffusion or prevents the QDs from crystallizing.
9:00 AM - R3.16
Hybrid Model for the Description of the Formation of Radiation Induced Surface Nano-structures
Efrain Hernandez 1 2 Veena Tikare 2 LuMin Wang 1
1University of Michigan Ann Arbor USA2Sandia National Laboratory Albuquerque USA
Show AbstractLow energy ion irradiation of different materials has been show to cause surface morphological changes on a wide range of materials. Some of these morphological changes include: nano-cones, quantum dots (QD), ripples, inter alia. The type of structures generated primordially depend on irradiation parameters (e.g.incidence angle) and type of sample. For example, 30 keV Ga+ irradiation of indium-antimony (InSb) yields nano-cones, while in gallium-arsenide (GaAs) it yields QDs. These parameters also control the structure distribution and the extent of self-assembly that is observed. To study the mechanisms driving these radiation induced self-assembled structure, we have developed a hybrid model, which implements Monte Carlo schemes (MC) and phase field techniques to simulate low energy ion irradiation of an initially flat surface. We will focus on the generation of QDs on GaAs since there is a substantially larger experimental literature.
General consensus agrees that the formation of the QDs is the balance between the sputtered target atoms and the surface diffusion, as described by the Bradley-Harper model. Although, it has been suggested that the mass transport mechanism largely dictates the surface morphological changes, with minimal contribution from the sputtering processes.
Our model incorporates MC algorithms to describe the evolution of the statistically-determined mechanisms (e.g. radiation collision, surface migration, etc.), and it solves the Cahn-Hilliard equations to track the compositional field evolution. This model properly describes the evolution of these QDs under prescribed irradiation conditions. These conditions are described by normalized distributions of the damage rate and energy deposition. We are able to observe that development of an irradiation induced quasi-liquid phase "film" (or layer), along side mass redistribution, is adequate in describing the formation of the QDs. It should be noted that there is a correlation between the systems kinetics and lowering of its energetics, that can have an effect in which structures form.
Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy&’s National Nuclear Security Administration under contract DE-AC04-94AL85000.
9:00 AM - R3.17
Selective Top-down Fabrication of GaN Nanorods Using ICP Etching and a Sacrificial Mask
Marek Ekielski 1 Michal A. Borysiewicz 1 Marek Wzorek 1 Eliana Kaminska 1 Tomasz Wojtowicz 2 Anna Piotrowska 1
1Institute of Electron Technology Warsaw Poland2Institute of Physics, Polish Academy of Sciences Warsaw Poland
Show AbstractFabrication and studies of GaN nanostructures have been attracting a great deal of interest due to their potential applications in advanced electronic and optoelectronic devices. Due to their tunable wide band gap and crystalline perfection, GaN-based nanostructures such as nanowires or nanorods are widely used in display technologies, energy harvesting and light emitting devices. However, such structures are mainly fabricated though bottom-up approaches, most of which are relatively complicated methods and mostly use a synthesis approach with the aid of catalysts. Furthermore, the control of the dimensions and density of the fabricated nanorods remains troublesome.
In this paper we report on one-step method for the fabrication of GaN nanorods with controllable dimensions and density by means of inductively coupled plasma (ICP) etching using BCl3/Cl2 plasma. MOVPE-grown n-type and p-type epi-layers on sapphire (0001) substrate were used as the starting material. The samples were covered with a sacrificial mask: either a thin SiO2 film produced by plasma enhanced chemical vapor deposition or a sputtered metal thin film. During the etching process a selective formation of faceted nanorods under the masking layer took place. This effect appeared only under 0 V DC bias. Otherwise, applying the same etching parameters without a fixed bias resulted in a uniform etched surface without nanorods. The method not only enables an easy fabrication of low-dimensional GaN-based structures, but also controllable patterning with GaN nanorods using standard photolithography methods.
To understand the mechanism of nanorod creation transmission electron microscopy and energy dispersive X-ray analysis were employed. The influence of process parameters such as gas composition, RF/ICP power and chamber pressure as well as type of masking layer on the density and dimensions of nanorods was investigated.
The surface morphology and estimation of nanorod density were determined by atomic force microscopy yielding values of the order of the dislocation density in the starting material. The dimensions of the nanorods were estimated using scanning electron microscopy cross-section images. The mean diameter of the obtained rods was around 100 nm with a mean height of few µm, both however dependent on the etch time and process parameters.
The photoluminescence spectra measured under He-Cd excitation of the starting epitaxial material exhibited a dominating presence of the near-band-edge (NBE) emission line at 365 nm with a visible yellow emission line. After the formation of nanorods a significant increase in the NBE intensity is observed, while the yellow emission intensity remains low.
This study was partially supported by the European Union within European Regional Development Fund, through grant Innovative Economy POIG 01.01.02-00-108/09 ‘MIME&’.
9:00 AM - R3.18
One Step Bottom-up Synthesis of CdSe Nanopillar Array and Its Growth Mechanism
Satish C Rai 1 2 Kai Wang 1 2 Weilie Zhou 1 2
1University of New Orleans New Orleans USA2University of New Orleans New Orleans USA
Show AbstractCdSe is an important II-VI direct band gap (1.74 eV) semiconductor with attractive properties and potential applications in optoelectronic devices, such as photodetectors, field effect transistors (FETs), solar cells and light emitting diodes (LEDs). In view of its technology importance, it is crucial to fully understand the growth mechanism of CdSe nanostructures in order to achieve desired morphology for practical device applications. So far, the growth mechanism for conventional nanostructures of CdSe, such as nanowires, nanobelts, quantum dots, etc., are known to certain extent, however, the growth mechanism lying behind unconventional nanostructures such as nanopillars are not fully understood. In this work, we have synthesized CdSe nanopillar array by simple one-step chemical-vapor-deposition (CVD) method directly on low cost and semitransparent flexible mica substrate. The as-synthesized nanopillars with several different morphologies were characterized by field emission scanning electron microscope (FESEM), transmission electron microscope (TEM), x-ray diffraction (XRD), Raman, etc. A possible growth mechanism for these nanostructures is proposed. Furthermore, in-situ transport property measurements in an FESEM will also be discussed.
9:00 AM - R3.19
Synthesized of Germanium Chalcogenide Nanocrystals Using Gas-phase Laser Photolysis Reaction and Their Photo-induced Cation Exchange Reaction
Yoon Myung 1 Hyung Soon Im 1 Chang Hyun Kim 1 Chan Su Jung 1 Yong Jae Cho 1 Dong Myung Jang 1 Han Sung Kim 1 Seung Hyuk Back 1 Jeunghee Park 1
1Korea University Jochiwon Republic of Korea
Show AbstractGermanium Chalcogenide (GeSxSe1-x (0le;xle;1)) NC were synthesized using a novel gas-phase photolysis method with the use of an Nd-YAG pulsed laser. The composition of NC was simply controlled by the gas-phase partial pressure ofprecursors (TMG, H2S, and DMS) in a closed reactor. Remarkably, the ligand-free GeSxSe1-x NC efficiently transformed into a series of corresponding crystalline Cd, Zn, Pb, and Ag chalcogenide NC via the photo-induced cation exchange reaction in aqueous solution. The S/Se composition of NC coincides with that of GeSxSe1-x NC. The structure and composition of NCs were analyzed using X-ray diffraction, X-ray photoelectron spectroscopy, high-resolution transmission electron microscopy ,energy-dispersive X-ray spectroscopy and UV-visible spectroscopy showing the consistent values of S and Se composition. The present cation exchange reaction is governed by the thermodynamic driving force based on solubility, in which product with a lower solubility drives the exchange of cations. The UV-visible photon absorption supplies sufficient energy to accerlate the exchange reaction rate.
9:00 AM - R3.20
Characterizations of Silicon Nitride Passivation for Phosphorus-doped Si Nanocrystals/Crystalline-Si Heterostructures
Ping-Jung Wu 1 I-Chen Chen 1
1National Central University Taoyuan County Taiwan
Show AbstractThe materials consisting of doped silicon nanocrystals (Si-NCs) embedded in a dielectric matrix have been used for fabrication of (Si-NCs)/crystalline-Si (c-Si) heterojunction devices as emitters. The Si-NC films were commonly prepared using sputtering or plasma enhanced chemical vapor deposition (PECVD) followed by a post-annealing process at a temperature higher than 900omicron;C for promoting segregation of doped Si-NCs in the dielectric matrix. However, it has been found that the post-annealing process could lead to the generation of additional defect states due to the microstructure rearrangement and dehydrogenation. The defects existing inside the doped Si-NCs/c-Si heterostructures may act as trapping centers, resulting in short carrier diffusion length and deleterious effects on the performances of optoelectronic devices. Thus, passivation of defects induced by the post-annealing process is crucial for the development of Si-NCs/c-Si heterojunction devices.
For the Si-NC films, defect passivation by hydrogen reincorporation using various methods, such as hydrogen plasma in a PECVD reactor and forming gas annealing, have been reported. However, these techniques are time-consuming (> 1 h) and may degrade the electrical properties of the Si-NCs/c-Si heterojunction devices due to the large thermal budget. In this work, a fast passivation method using hydrogenated amorphous silicon nitride (SiNx:H) thin films combined with rapid thermal annealing (RTA) was applied to passivate phosphorus-doped Si-NCs/c-Si heterostructures. We have systematically investigated the dependence of the microstructures of SiNx:H thin films and hydrogen-release temperature on the film deposition and RTA procedure conditions for doped Si-NCs/c-Si heterostructure passivation.
In our experiments, phosphorus-doped Si-NCs embedded in silicon nitride thin film were grown on p-type Si wafers. After that, SiNx:H films with various [Si]/[N] ratios were deposited on the P-doped Si-NCs/c-Si heterostructures by adjusting the SiH4/NH3 flow ratio in inductive coupled plasma chemical vapor deposition (ICP-CVD) processes. Then, the RTA procedure was utilized to enhance the passivation performance. Fourier transform infrared (FTIR) and second ion mass spectroscopy (SIMS) were conducted for investigating the characterizations of hydrogen bonding and the depth profiles of element concentrations. In order to examine the passivation quality by SiNx:H films, we measured the effective lifetime as a function of the excess minority carrier density by the quasi-steady-state photoconductance (QSSPC) technique.
9:00 AM - R3.21
Directed Solution Assembly of Quantum Dots - Gold Nanorods Architectures
Dhriti Nepal 1 Lawrence F. Drummy 1 Sushmita Biswas 1 Kyoungweon Park 1 Vaia A. Richard 1
1WPAFB, Air Force Research Lab Wright-Patterson AFB USA
Show AbstractControlled assembly of quantum emitters (QE) with nanometer separation from discrete plasmonic nanoparticles is crucial for tailoring QE absorption and emission for enhancing the performance and efficiency of photodetection, light emission and sensing. Fabrication of such structures by colloidal approaches offers numerous advantages relative to lithographic techniques, including controllable gap size (sub 5 nm), three-dimensional architectures and higher throughput. Nevertheless, the colloidal assembly approach is challenged by heterogeneous products with poor colloidal stability and low yield, which limit detail structural and photo-physical characterization of the ensembles. Here we develop a simple and highly reproducible aqueous-based strategy via dithiol coupling to create controlled assemblies with tunable separation of quantum dots (QD) CdSe/ZnS (7-10 nm) on gold nanorods (AuNR width ~15-30 nm, aspect ratio ~2-4) surface. Systematic changes to the assembly conditions affords excellent control over spacing (1-10 nm), location (ends vs all around), and number of QD/AuNR surface. Specifically, CTAB capped AuNR (nanomolar aqueous dispersion) are functionalized selectively (either at ends or all around) with amino alkyl thiol. Amine functionality on both AuNR and QD were separately converted into thiols via Traut&’s reagent, and mixing these two solutions carefully with controlled molar ratio resulted into QD-AuNR architectures in the aqueous solution. The robust stability of the these architectures enable subsequent chemistry, including silica encapsulation, which allows isolation of individual assemblies, high resolution characterization of their 3-Dimensional structures (TEM, STEM, EDX, elemental mapping) and evaluation of the single photophysics. This robust strategy of fabricating controlled architectures should provide strong foundation for the directed light source, photovoltaics and bioimaging.
9:00 AM - R3.22
In-situ Monitoring of the Growth of Self-assembled Nanoporous Silica on Patterned Surfaces
Ahmed S. G. Khalil 1 2 3 Frank Marlow 1
1Max-Planck-Institut famp;#252;r Kohlenforschung Mamp;#252;lheim an der Ruhr Germany2Egypt Nanotechnology Center Giza Egypt3Faculty of Science, Fayoum University Fayoum Egypt
Show AbstractOrdered nanoporous materials have attracted much attention since its discovery in 1992 [ 1]. Since then, several classes of materials such as MCM and SBA have been synthesized and characterized [2]. The synthesis of e.g. the SBA-3 materials results in many different morphologies (fibers, cones, gyroids, etc) with different hieratical structures starting from several nanomeneters up to tens of microns. The formation mechanisms of these different shapes are still a little mystery and have not been well-understood. During the last few years, a nearly complete control of the growth has been successfully realized using patterned surfaces [3]. Different patterned surfaces prepared by microcontact printing have been utilized to form well-defined and self-assembled SBA-3 circular cones [3 ,4 ]. The formation of such arrays has opened several opportunities for detailed investigation of these novel materials. For instance, the diffusion of Rhodamine dye inside these porous and hierarchical structures has been revealed to be strongly anisotropic [5 ].
Here, we report on a novel in-situ technique used to monitor the growth dynamics of these nanomaterials assembled in solution and on patterned surfaces. The diffraction images resulting from shining a laser beam on the growth vessel have been identified and analyzed over the whole period of the synthesis (up to three days). The induction time of the growth has been calculated with high accuracy and its temperature dependence has been studied in details. In addition, this in-situ technique has provided the possibility to determine the growth velocities of these materials assembled on different surfaces. Based on the obtained data, we are able to refine the possible mechanisms describing the formation of these circular materials.
References:
[1] C. T. Kresge, M. E. Leonowicz, W. J. Roth, J. C. Vartuli, J. S. Beck, Nature 359 (1992) 710.
[2] H. Yang, N. Coombs, G. A. Ozin, Nature 386 (1997) 692.
[3] A. S. G. Khalil, D. Konjhodzic, F. Marlow, Adv. Mater. 18 (2006) 1055.
[4] F. Marlow, A. S. G. Khalil, M. Stempniewicz, J. Mater. Chem. 17 (2007) 2168
[5] M. Stempniewicz, A. S. G. Khalil, M. Rohwerder, F. Marlow, J. Am. Chem. Soc. 129 (2007) 10561.
9:00 AM - R3.24
Nano-growth and Defect Studies of Thin Films of ZnO
Jianfeng Ji 1 Farida Selim 1
1Washington State University Pulllman USA
Show AbstractSynthesis of high transparency thin films of ZnO at modest cost is of great technological importance as ZnO may offer tremendous advantages as transparent conductor for solar cells and display systems. It can also be incorporated on GaN based LED to enhance its external quantum efficiency. In this work, thin films of ZnO are synthesized using the sol-gel method and spin coating technique and characterized by atomic scale structural characterization techniques and optical and luminescence spectroscopies. The films showed excellent optical properties. Defect measurements have been performed and compared with results from ZnO single crystals grown by CVT. In this work we will also show that ZnO has excellent features as a scintillation material.
9:00 AM - R3.25
Si Nanocrystal Networks for Photovoltaic Applications
Emel Sungur Ozen 1 Sinan Gundogdu 1 Umit Keles 1 Ceyhun Bulutay 1 Atilla Aydinli 1 Karl-Heinz Heinig 2 Valentino Rigato 3
1Bilkent University Ankara Turkey2Helmholtz-Zentrum Dresden-Rossendorf Dresden Germany3INFN-LNL Laboratori Nazionali di Legnaro Padova Italy
Show AbstractSelf-assembling during spinodal decomposition of Si nanocrystals in a dielectric matrix is a very promising synthesis process of novel nanocrystaline Si structures for 3rd generation thin-film solar cells. Thanks to quantum confinement in nanocrystals, this approach can be utilized to improve the single band gap silicon solar cells efficiency by spectrum management through the incorporation of larger band gap nanocrystaline silicon into the solar cell structure allowing a better use of the solar spectrum.
Conventional techniques use high-temperature processing to activate the spinodal decomposition process. However, these methods are incompatible with glass substrates or thin-film stacked structures usually employed in mass production techniques (e.g in pilot lines for deployment of solar cells). An alternative approach reducing the thermal budget and allowing localised processing is the laser irradiation of substochiometric silicon oxides.
We present cw laser annealing of Si-rich oxide thin films with varying Si content to obtain Si nanocrystals embedded in silica. SiOx thin films with x<2 were obtained by plasma enhanced chemical vapor deposition (PECVD). Hydrogen or nitrogen diluted silane (SiH4) gas was used as the Si source and two different precursor gasses, N2O and CO2, were used for oxygen incorporation. We have achieved the control of the Si ratio in the films by adjusting the relative gas flow ratios. Fine tuning the Si excess in SiOx and optimizing the annealing conditions is pursued to control the inter-nanocrystal distance to generate a network of Si nanocrystals with controlled Si/SiO2 phase separation. Our computational studies of silicon nanowire networks based on realistic pseudopotential techniques have unraveled the systematics of the band gap variation under topological and structural variations. With the aid of these atomistic modeling tools, nanocrystal networks are optimized for solar cell applications.
We have investigated the nanocrystal network formation for different composition samples. In the case of PECVD grown Si-rich oxides, different elements such as nitrogen, carbon and especially hydrogen can be present in the films. A detailed elemental study has been performed to determine the precise composition of the films using ion beam techniques such as elastic recoil detection analysis (ERDA) and Rutherford back scattering (RBS), as well as X-Ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR). The Si/SiO2 phase separation has been studied by energy filtered Transmission Electron Microscopy (EFTEM) and the nanowires' diameters have been identified to be in the order of a few nanometers suggesting the possibility of quantum confinement.
This research is supported by TÜBITAK-BMDF grant no 109R037.
9:00 AM - R3.26
Directed Self-assembly of Block Copolymers by Solvent Assisted Nanoimprint Lithography for Alternative Lithographic Masks
Claudia C. D. Simao 1 Nikolaos Kehagias 1 Mathieu Salaun 2 Marc Zelsmann 2 Barbara Kosmala 3 4 Mick A. Morris 3 4 5 Clivia Sotomayor Torres 1 6 7 Juan Sebastian Reparaz 1
1Catalan Institut Nanotechnology Barcelona Spain2CNRS Grenoble France3UCC Cork Ireland4Tyndall Cork Ireland5CRANN Dublin Ireland6ICREA Barcelona Spain7UAB Barcelona Spain
Show AbstractBlock-copolymers (BCP) are playing an important role in alternative lithographies to obtain sub-20 nm nanostructures on surfaces.[1] In a bottom-up approach, BCPs microphase segregation on its constituent blocks originating cylinders or lamellas on surface, and top-down nanoimprint lithography (NIL) [2] is employed to achieve long range order.[3,4,5] The added value spans NIL field of applications to biotechnology,[6] energy conversion,[7] and nanoelectronics.[8] To achieve BCP DSA using NIL, the stamp features have to be commensurable with the BCPs periodicity (L0). Generally, BCP DSA is guided by higher values of blocks interaction parameter (Chi;n) which is known to give more ordered microphase domains, where Chi;n is inversely proportional to the temperature.[9] Here we report solvent vapors assisted nanoimprint lithography (SVANIL) to combine bottom-up and NIL.[3] A SVANIL setup was created able to imprint up to 4” wafers. Different molecular weights of BCPs PS-b-PEO and PS-PDMS were employed replicating the NIL stamp with high resolution, with features in the sub-20 nm size that exhibited different feature alignment as a function of the height of the mesas. This combinatorial approach uses milibar pressures and one third the nanofabrication time, when comparing BCPs with conventional annealing.
The research leading to these results has received funding from the European Union Seventh Framework Program ([FP7/2007-2013] project LAMAND under grant agreement n° [245565]) and by the Spanish Ministry for Science and Innovation (Plan Nacional de I + D + I (2008-2011) under contract no. FIS2009-10150). The contents of this work are the sole responsibility of the authors.
References
1. Ouk Kim, S.; Solak, H. H.; Stoykovich, M. P.; Ferrier, N. J.; de Pablo, J.J.; Nealey, P. F., Nature 2003, 424 (6947), 411-414.
2. S. Zankovych, T. Hoffmann, J. Seekamp, J.U. Bruch, and C.M. Sotomayor Torres, Nanotechnol., 12 (2001) 91.
3. Salaun, M.; Kehagias, N.; Salhi, B.; Baron, T.; Boussey, J.; Sotomayor-Torres, C. M.;Zelsmann, M., J. Vac. Sci. Technol. B:Microelectr. Nanom. Struct. 29 (6) 06F208-1.
4. S.M. Park, X. Liang, B.D. Harteneck, T.E. Pick, N. Hiroshiba, Y. Wu, B.A. Helms, and D.L. Olynick, ACS Nano, 5 (2011) 8523.
5. C. Simatilde;o, D. Borah, A. Francone, B. Kosmala, M Morris, C. Sotomayor-Torres, J. Photopol. Sci. Technol. 25 (2012) 239
6. L.C. Glangchai, M. Caldorera-Moore, L. Shi, and K. Roy, J. Controlled Release, 125 (2008) 263.
6. K.S. Han, J.H. Shin, W.Y. Yoon, and H. Lee, Sol. Energy Mater. Sol. Cells, 95 (2011) 288.
7.E.L. Yang, C.C. Liu, C.Y.P. Yang, C.A. Steinhaus, P.F. Nealey, and J.L. Skinner, J. Vac. Sci. Technol. B, 28 (2010) C6M93.
8. F.S. Bates, Science, 251 (1991) 898.
R1: Group IV Nanostructure and Self Assembly
Session Chairs
Armando Rastelli
Leo Miglio
Tuesday AM, April 02, 2013
Moscone West, Level 2, Room 2016
9:30 AM - *R1.01
Scaling Hetero-epitaxy from Layers to Three-Dimensional Crystals
Leo Miglio 1
1University of Milano Bicocca Milano Italy
Show AbstractThe patterning of silicon substrates into micron-sized features separated by deep trenches, combined with fast epitaxial growth, leads to self-assembled, closely spaced crystals of arbitrary height, mimicking the three dimensional growth of bulk ingots on a micron scale. We have first studied1 the conditions for this peculiar transition from two-dimensional layers to a dense array of three-dimensional crystals for the Ge/Si(001) system1. The selfassembly process of the crystals is driven by mutual geometric shielding of the incident particle flux combined with quenched surface diffusion. Faceted Ge crystals are dislocation-free and completely relaxed, despite the large lattice and thermal mismatch with the Si substrate. By avoiding the formation of continuous layers, wafer bowing and crack formation are inhibited. Extension of this new epitaxial concept to the GaAs/Si(001) case has also been performed. We expect this to pave the way for many applications requiring thick heteroepitaxial layers, such as detectors monolithically integrated onto CMOS substrates, and power electronic devices or multi-junction solar cells fabricated on cheap Si wafers.
1) Falub, C. V. et al., Science 335, 1330-1334 (2012).
10:00 AM - R1.02
Symmetry-breaking in Shape Transitions of Epitaxially-strained Islands
Brian Spencer 1 Jerry Tersoff 2
1University at Buffalo Buffalo USA2IBM Research Division, T.J. Watson Research Center Yorktown Heights USA
Show AbstractDuring heteroepitaxial growth, strained islands form and undergo a series of shape transitions with increasing size. Here we examine the transition pathway for faceted strained islands within a theoretical model qualitatively applicable to the pyramid-to-dome transition of Ge on Si(001) or InAs on GaAs (001). We find that the transition pathway from pyramidal to multifaceted dome islands occurs via sequential nucleation of facets and involves highly asymmetric transition states (e.g. half-dome) which can be metastable. We also determine the influence of substrate miscut on the development of asymmetric island shapes and show that for sufficiently large miscut, highly asymmetric shapes such as half-pyramid and half-dome can be stable equilibrium states. These results are summarized in a phase diagram of island shape as a function of island volume and substrate miscut.
10:15 AM - R1.03
Nanochemistry of Kinetically Driven Self-assembled Nanostructures in Epitaxial SiGe Films
Prabhu Balasubramanian 1 Jerrold A Floro 2 Jennifer L Gray 3 Robert Hull 1
1Rensselaer Polytechnic Institute Troy USA2University of Virginia Charlottesville USA3Pennsylvania State University University Park USA
Show AbstractEpitaxial growth of SiGe films on Si(100) performed under certain conditions of limited adatom mobility produces a morphology that consists of a pyramidal pit surrounded by a hut shaped atomic island along each edge, which we call Quantum Dot Molecule, QDM. Quantum Dot Molecules have potential applications to nanologic device architecture such as Quantum Cellular Automata that relies on localization of charges in islands to create bi-stable logic states. In order to determine the applicability of QDMs to such structures it is necessary to understand the nanochemistry of QDMs because nanochemistry affects local bandgap which in turn affects a QDM&’s charge confinement property. In this work the composition of QDMs in the Si0.7Ge0.3/Si (100) system has been studied using Auger Electron Spectroscopy (AES). With the high spatial resolution and high compositional sensitivity capabilities in AES it is possible to detect a compositional variation of a few percent over a distance of few nanometers. In this work Auger line scans across QDMs were obtained and a topographic correction algorithm was applied to obtain variation in composition across the feature of interest. Since a finite size of the electron-solid interaction volume would cause smearing of measured compositional profiles, a method was devised for inferring the true compositional distribution within QDMs. The method comprised of convoluting a mathematical function that represents the emitted Auger electron distribution with several assumed composition profiles, then comparing the convoluted data with experimental data to infer the true nature of composition variation. This data indicates that the base of the pits are the most Si deficient regions of QDMs (mean of maximum Si de-enrichment= ~16% Si) implying a localization of holes inside pits. The implied hole localization in pits is because pits are richest in Ge, whose valence band edge is at a higher energy level than that of Si. Another observation was that there was a lateral Si composition gradient from top to bottom of the pit interior. The concentration of Ge at the pits in QDMs was unexpected given that top of islands are expected to be under tensile stress and base of pits under compressive stress with respect to the average film stress. Therefore work is in progress towards understanding the QDM formation mechanism responsible for the unexpected compositional distribution within QDMs.
10:30 AM - R1.04
SiGe Quantum Dot Growth on a Pattern : A Kinetic Model
Jean-Noel Aqua 1 Xianbin Xu 1
1University Paris 6 UPMC Paris France
Show AbstractA strained film coherently deposited on a substrate with a small lattice mismatch undergoes a morphological instability driven by surface diffusion. It eventually evolves into self-organized quantum dots with a typical length scale given by the ratio of the film surface energy and elastic energy density. We study the evolution of this instability on a patterned substrate with either an egg-carton or stripe shape. We use a continuum description accounting for elasticity, surface energy and wetting interactions. We investigate the competition between the organizations resulting both from the substrate and the instability. The ratio between the instability and pattern length scales appears to control different growth regimes, together with the pattern aspect ratio. We characterize a kinetic phase diagram as a function of time, film thickness and the length scales ratio. We show that in the inital stage, the film surface can skip from a geometry in phase with the substrate to either an out-of-phase or a non-ordered configuration depending on the pattern wavelength and annealing time. We discuss different initial conditions given by different deposition flux, and their influence on the surface evolution. We discuss the self-organization of quantum dots in the long time dynamics of the instability resulting from the non-linear analysis of the mass conservation equation. Of special interest is the correlation between the pattern corrugation and the islands location which is still controversial from the experimental point of view. We find that islands may either locate on top of the pattern or in the bottom (pits or valley) depending both on the instability initial stage and on the coarsening at work during annealing.
10:45 AM - R1.05
Ab initio Simulation of 1D Pattern Formation of Adsorbates on the Ge(100)-2 times; 1 Surface Driven by Nearest Neighbor Effects
Bonggeun Shong 1 Stacey F Bent 1
1Stanford University Stanford USA
Show AbstractSelf-assembled, linear molecular nanostructures on semiconductor surfaces are of interest due to their potential to create nanoscale electronic devices. Although such systems have been studied mostly on H-terminated Si(100), the formation of distinguishable one dimensional patterns parallel to the dimer rows has recently been reported in STM studies of methanol and ethylene adsorption on the Ge(100)-2 × 1 surface [1, 2]. Whereas methanol formed successive arrays of adsorbates along the dimer rows, ethylene adsorbates occupied every second Ge dimer, skipping the nearest neighbor sites. Since the energetics suggest that the chemisorption is irreversible at room temperature, these pattern formations must be due to kinetic effects. The adsorption mechanisms for both ethylene and methanol on Ge(100) are precursor mediated and activated, making the difference in the adsorption patterns more remarkable. Our study provides a unified explanation for the formation of these distinct patterns by employing kinetic Monte Carlo (KMC) simulations based on density functional theory (DFT) calculations [3].
The DFT calculations show that an existing adsorbate affects the kinetic parameters of adsorption on the adjacent dimer. While the reaction of ethylene is hindered next to an ethylene adsorbate, a nearby methanol adsorbate facilitates the reaction of another methanol adjacent to it. We hypothesize that the interaction between buckled dimers, the inductive effect of the adsorbate, and the steric hindrance by the adsorbate modify the potential energy surface along the adsorption. Furthermore, this effect is mostly confined to the nearest neighbor on a same row due to the local nature of the covalent bonds of the Ge substrate. These findings, together with ordering of the dimers on the Ge(100) surface, simplify the system into one dimensional cooperative sequential adsorption. The KMC simulation patterns based on the calculated adsorption probabilities agree well with the experimental observations of ethylene and methanol adsorption on Ge(100). The close resemblances of the coverage-dependent evolution of the simulated patterns to those observed experimentally suggest that the nearest neighbor effect does lead to longer range pattern formation. Our model can also be applied to other spontaneous surface-templated patterning achieved during irreversible molecular adsorption through a precursor mediated mechanism.
1. A. Kim et al., J. Phys. Chem. B 108, 3256 (2004).
2. S.-S. Bae et al., J. Phys. Chem. C 111, 15013 (2007).
3. B. Shong, and S. F. Bent, submitted.
11:30 AM - *R1.06
Tailoring the Structural and Thermal Properties of Epitaxial Ge-Si
Armando Rastelli 1 2 Jianjun Zhang 2 1 Peixuan Chen 1 2 Georgios Katsaros 1 2 Francesco Montalenti 3 Leo Miglio 3 Friedrich Schaeffler 1 Guenther Bauer 1 Oliver G. Schmidt 2
1Johannes Kepler University Linz Linz Austria2IFW Dresden Dresden Germany3University of Milano-Bicocca Milano Italy
Show AbstractThe spontaneous formation of defect-free three-dimensional islands during deposition of Ge on clean Si(001) surfaces was first reported more than 2 decades ago [1]. In spite of its apparent simplicity and extensive research [2], the Ge/Si heteroepitaxial system is still able to reveal surprising phenomena and properties.
In this talk we will provide an overview of our recent work on the field. In particular we will report on a new method to “stretch” conventional hut-clusters [1] into micron-long nanowires [3], which are appealing for quantum transport experiments with single nanostructures. Then we will show that, by stacking Ge/Si layers, we obtain a material with room-temperature thermal conductivity which can be fine-tuned between an ultralow value of 1 W/mK [4,5] and that of Silicon (~150 W/mK) by simply varying the thicknesses of the constituent Ge and Si layers. This feature makes Si-Ge multilayers interesting for research in the field of nanostructured thermoelectric materials.
References
[1] Y.-W. Mo et al, Phys. Rev. Lett. 65, 1020 (1990); D.J. Eaglesham and M. Cerullo, Phys. Rev. Lett. 64, 1943 (1990).
[2] J. Stangl, V. Holý, G. Bauer, Rev. Mod. Phys. 76, 725 (2004).
[4] J. J. Zhang et al, Phys. Rev. Lett. 109, 085502 (2012).
[5] G. Pernot et al, Nat. Mater. 9, 491 (2010)
[6] P. Chen et al (unpublished)
12:00 PM - R1.07
Instability Formation in Epitaxial SiGe Lines under Hydrogen Annealing
Birgit Seiss 1 2 Georges Bremond 2 Didier Dutartre 1
1STMicroelectronics Crolles France2Institut des Nanotechnologies de Lyon Villeurbanne France
Show AbstractSiGe epitaxy is a well-established process in semiconductor industry for several years. In the current node technologies the feature dimensions are already very small, but they will continue to decrease further regarding future node technologies. The morphology of epitaxial SiGe is consequently increasingly sensitive to the exposure to thermal budgets during, as well as after the deposition step, which will have an impact on the function of the final device. In order to address this problematic, the influence of line width and film thickness on the morphology of annealed epitaxial SiGe has been studied in this work.
Si(0.73)Ge(0.27) (SiGe) films with a thickness of 5 and 19 nm were grown by selective epitaxy on (001) Si wafers using rapid thermal chemical vapor deposition. After the growth at 600°C the films were annealed one minute under H2 atmosphere at 750°C in the epitaxy chamber. The morphology of annealed SiGe in lines oriented along <100> directions was studied by scanning electron microscopy and atomic force microscopy for line widths varying from 490 to 50 nm.
The superposition of thermal rounding and Stranski-Krastanov (SK)-like strain relaxation of the as-deposited faceted morphology [1], results in the formation of two ridges along the line for 19 nm thick SiGe in 490 and 390 nm wide lines. For line widths ranging from 290 to 90 nm, annealed SiGe consists of only one rounded ridge. The surface morphology is smooth along the line and no effect of SK-like strain relaxation is observed. Decreasing the line width below 90 nm, leads to the formation of necked bulges, resembling the Plateau-Rayleigh instability. The amplitude of these instabilities increases with decreasing line width.
In the case of a 5 nm thick SiGe film, the superposition of thermal rounding and SK-like strain relaxation can be observed as for the 19 nm thick film, but for a larger range of line width, from 490 to 290 nm. In contrast to the 19 nm thick film, the number of ridges of the widest line is three for the 5 nm thick film. A particular morphology appears in a 180 nm wide line. After annealing the 5 nm thick film shows instabilities in the form of well-defined faceted islands with a square-shaped base, which was not observed for any line width of the 19 nm thick film. For SiGe in lines with a width of 145 nm and below no more instability is observed. The morphology is regular and smooth along the line.
With these experiments, we have shown that the morphology of SiGe in narrow lines is very sensitive to thermal budgets and that it depends both on the line width and on the film thickness.
References:
[1] D. Dutartre et al., Thin Solid Films 520 (2012) 3163
12:15 PM - R1.08
A Strain-free Epitaxial Ge/metal/Si Vertical Structure with Atomically Smooth Heterointerfaces
Shinya Yamada 1 Makoto Kawano 1 Kohei Tanikawa 1 Kentarou Sawano 2 Masanobu Miyao 1 Kohei Hamaya 1
1Kyushu University 744 Motooka Japan2Tokyo City University 78-15-1 Todoroki Japan
Show AbstractVertical-type transistors have a possibility to dramatically improve device performance compared to a conventional silicon (Si)-based lateral metal-oxide-semiconductor field-effect transistor (MOSFET) [1, 2]. Very recently, we demonstrated high-quality Ge films on a metallic silicide, Fe3Si, grown on Ge(111) by using molecular beam epitaxy (MBE) techniques [3], where there is almost no lattice mismatch between and Ge. We can also expect this result to realize a vertical-type germanium (Ge)-MOSFET with metallic source/drain contacts. For device applications, one should achieve the vertical-type Ge-MOSFET on a Si platform.
Although high-quality Ge films cannot be generally obtained on Si by a conventional growth method due to their lattice mismatch of sim;4 %, we have already found that high-quality Fe3Si films can be grown on Si(111) by using our MBE technique despite sim;4 % lattice mismatch to Si [4]. If high-quality Ge films are grown on Fe3Si/Si(111), a Ge/metal/Si vertical heterostructure can be demonstrated, leading to a basic structure of next-generation vertical-type Ge-MOSFET with the metallic source/drain.
Fe3Si layers (10 nm) were grown on Si(111) at 130 °C by co-evaporating Fe and Si using Knudsen cells [4]. Then, we terminated the surface of the grown Fe3Si with a Si atomic layer for high-quality Ge growth on Fe3Si [3]. Reflection high-energy electron diffraction (RHEED) pattern of the Si-terminated Fe3Si surface clearly showed streaks. On the top of it, we grew Ge layers (54 nm) with increasing the growth temperature from 200 °C to 350 °C. In-situ RHEED pattern of the Ge layer clearly showed streaks, indicating good two-dimensional epitaxial growth of Ge on Fe3Si/Si(111). The RMS roughness of the Ge layer grown on Fe3Si/Si(111) was sim;0.37 nm. From the Raman spectra of the Ge layer, a sharp peak originating from Ge-Ge bonding was clearly observed at 302 cmminus;1. Surprisingly, the peak position was same as that of the Ge(111) substrate. This means that there is no lattice strain even for the Ge layer grown on Fe3Si/Si(111). We found that Fe3Si layer grown on Si(111) was completely relaxed even for the thickness of 10 nm and it served as a good buffer layer for the growth of strain-free Ge films on Si(111). We will present structural analyses and electrical properties of Ge/Fe3Si/Si(111) structures.
This work was partly supported by Grant-in-Aid for Young Scientists (A) from JSPS and NEDO. S.Y. acknowledges JSPS Research Fellowships for Young Scientists.
[1] H. T. Ng et al., Nano Lett. 4, 1247 (2004).
[2] K. Tomioka et al., Nature 488, 189 (2012).
[3] S. Yamada et al., Cryst. Growth Des. 12, 4703 (2012).
[4] K. Hamaya et al., Appl. Phys. Lett. 93, 132117 (2008); Jpn. J. Appl. Phys. 50, 010101 (2011).
12:30 PM - R1.09
Top-down Unaxial Si Channels on Elastically-relaxed Ge-rich Nanostripes
Monica Bollani 1 Daniel Chrastina 2 Valeria Mondiali 2 Marco Fiocco 3 Jacopo Frigerio 2 Luca Gagliano 3 Daniele Scopece 3 Francesco Montalenti 3 Emiliano Bonera 3
1IFN-CNR Como Italy2Politecnico Como Italy3Universitamp;#224; Milano Bicocca Milano Italy
Show AbstractInformation and communication technologies (ICT) eagerly need novel approaches, or even paradigm shifts, to continue the improvement of the performance and the scaling down of silicon metal oxide semiconductor field effect transistors (MOSFETs). The mobility enhancement can be achieved by altering the properties of silicon through strain-induced manipulation of the band structure, which modifies the effective masses and phonon scattering within the channel. Uniaxial strain obtained using local stressors has become part of mainstream Si-based technology over the past few years, since uniaxial strain in Si improves its figures of merit in terms of microelectronic applications[1]. In this work, top-down structures obtained by nanolithography will be used as stressors for the creation of high deformation fields [2]. A strained Si or Si1 yGey cap on a elastically-relaxed Si1-xGex ridge (y < x) will be grown on Si(001) substrates by low-energy plasma-enhanced chemical vapor deposition and characterized by x-ray diffraction to verify the composition and strain state of as-grown heterolayers. Nanostructures, in the form of long ridges in order to induce uniaxial strain in the cap layer, will be defined by electron-beam lithography and reactive ion etching. Micro-Raman spectroscopy will be used to obtain complementary information on the composition and strain state within nanostructures on the micron scale. The nanostructures will be designed with the help of FEM modeling. The same modeling will also be used to interpret both micro-Raman and nanodiffraction results, in the latter case by constructing kinematical simulations of the diffraction pattern using the displacement field produced by the FEM.
[1] R.A. Minamisawa, M.J. Süess, R. Spolenak, J. Faist, C. David, J. Gobrecht, K.K. Bourdelle and H. Sigg, Nature Communications, 3(2012) 1096
[2] M. Bollani, D. Chrastina, M. Fiocco, V. Mondiali, J. Frigerio, L. Gagliano,and E. Bonera, Journal of Appl. Physics, accepted.
12:45 PM - R1.10
Straining Nanomembranes via Highly Mismatched Heteroepitaxial Growth
Christoph Deneke 1 2 Angelo Malachias 3 Armando Rastelli 4 2 Leandro Merces 1 Minghuang Huang 5 Francesca Cavallo 5 Oliver G. Schmidt 2 Max G. Lagally 5
1LNNano Campinas Brazil2IFW Dresden Dresden Germany3Universidade Federal de Minas Gerais Belo Horizonte Brazil4Johannes Kepler University Linz Austria5University of Wisconsin Madison Madision USA
Show AbstractFreestanding crystalline semiconductor membranes have gained increasing attention in the recent years [1-2], because of the combination of a highly compliant material - due to the small thickness of 1 to 100 nm - with the high quality of single crystalline material. Lately it has been demonstrated [3-4] that these layers can be used as templates for the growth of self-assembled nanostructures (Ge islands) and the growth behavior is heavily influenced by this compliant substrate.
The growth of III-V compound semiconductor (e.g. InAs) on Si is challenging due to the large lattice misfit between InAs and Si (10.6%) as well as the high difference in thermal expansion coefficients. As epitaxial growth is strongly influenced by the lattice mismatch between two materials, the use of a compliant substrate like a Si nanomembrane could open a new possibility to tackle the problem.
In this work [5], freestanding, edge-supported silicon nanomembranes are defined by selective underetching of patterned silicon-on-insulator substrates. The membranes are afterward introduced into a molecular beam epitaxy chamber and overgrown with InAs, resulting in the formation of InAs islands on flat areas and at the top of the Si nanomembranes. A detailed analysis of sample morphology, island structure, and strain is carried out. Scanning electron microscopy shows that the membrane stays intact during overgrowth. Atomic force microscopy reveals a lower island density on top of the freestanding membranes, denoting a modified wetting or diffusivity in these areas. An observed bending of the membrane indicates a strain transfer from the InAs islands to the compliant substrate. X-ray diffraction and finite-element modeling indicate a nonuniform strain state of the island ensemble grown on the freestanding membrane. A simulation of the bending of the nanomembranes indicates that the islands at the center of the freestanding area are highly strained, whereas islands on the border tend to be fully relaxed. Finally, continuum elasticity calculations suggest that for a sufficiently thin membrane InAs could transfer enough strain to the membrane to allow coherent epitaxial growth, something not possible on bulk substrates.
[1] J. A. Rogers, M. G. Lagally, R. G. Nuzzo, Nature 2011, 477, 45-53.
[2] M. H. Huang, F. Cavallo, F. Liu, M. G. Lagally, Nanoscale 2011, 3, 96-120.
[3] C. S. Ritz, H. J. Kim-Lee, D. Detert, M. M. Kelly, F. S. Flack, D. E. Savage, Z. Cai, P G. Evans, K. T. Turner, M. G. Lagally, New J. Phys. 2010, 12, 103011.
[4] M. H. Huang, C. S. Ritz, B. Novakovic, D. C. Yu, Y. Zhang, F. Flack, D. E. Savage, P. G. Evans, I. Knezevic, F. Liu, et al. ACS Nano 2009, 3, 721-727.
[5] Ch. Deneke, A. Malachias, A. Rastelli, L. Merces, MH. Huang, F. Cavallo, O. G. Schmidt, M. G. Lagally. ACS Nano, in press (2012)
Symposium Organizers
Isabelle Berbezier, Aix Marseille University
Jean-Noel Aqua, Universiteacute; Paris 6 - INSP
Jerrold Floro, University of Virginia
Andrej Kuznetsov, University of Oslo
R5: Synthesis and Characterization II
Session Chairs
Jerrold Floro
Jeff Drucker
Wednesday PM, April 03, 2013
Moscone West, Level 2, Room 2016
2:30 AM - *R5.01
Vapor-liquid-solid Growth of in Plane Si Nanowires
Jeff Drucker 1 Somilkumar J Rathi 2
1Arizona State University Tempe USA2Arizona State University Tempe USA
Show AbstractSi nanowires grown using the vapor-liquid-solid mechanism can sometimes grow in the plane of the substrate. Instead of growing vertically, normal to the substrate, these nanowires follow a lateral trajectory as they crawl along the substrate surface. This talk will discuss nanowires grown using UHV CVD from undiluted disilane and vacuum-deposited Au seeds. Those grown atop on-axis Si(111) substrates always grow perpendicular to the substrate. The fraction of laterally growing nanowires increases with the misorientation angle away from (111) and can be influenced by substrate temperature and disilane pressure. Lower disilane pressures and substrate temperatures result in larger fractions of crawling nanowires. Step edges on the miscut surfaces guide in-plane nanowire growth. Straight nanowires result for growth on substrates with misorientation angles greater than 2.5°. In-plane nanowires grown on substrates with smaller miscut angles can unpin from step edges and meander along the terrace before pinning at the adjacent step edge. For a particular Au seed size, in plane nanowires have a much smaller cross-sectional area than their vertically growing counterparts. The in-plane nanowires have a faceted triangular or trapezoidal cross section instead of the hexagonal cross-section found for vertically growing nanowires grown at the same conditions. They are bound by a large, low surface energy (111) plane and much smaller high-index planes. This observation suggests that the preference for lateral rather than vertical nanowire growth is a consequence of surface energy minimization.
3:00 AM - R5.02
Characterization of Strain in Bottom-up Grown Silicon Nanowires Induced by All-around-gate Metallization
Guy M Cohen 1 Brent A Wacaser 1 Mark C Reuter 1
1IBM T.J. Watson Research Center Yorktown Heights USA
Show AbstractWe have characterized the strain induced in silicon nanowires following the conformal deposition of an ALD-deposited TaN gate electrode material. ALD-deposited TaN metallization is often used to form the gate that surrounds the nanowire channel in FET devices.
The silicon wires were grown by the VLS method on silicon (111) oriented surface using aluminum as a catalyst. A conformal layer of ALD-TaN was deposited over the wires and also over planar Si wafers. The stress in the TaN film was obtained using the wafer bowing method. The magnitude of the stress in the TaN film was tuned in the range of 0.2 to 2.1 GPa by a post deposition annealing. High resolution x-ray diffraction (HRXRD) was used to measure the out-of-plane and in-plane strain that is induced in the silicon wires by the TaN film. The strain information is obtained from an assemblage of nanowires (“forest of wires”) to enable a measurement using a conventional x-ray tube with a copper source.
Measurement of out-of-plane strain using (111) reflections provided the elongation or contraction of the nanowire&’s principle axis, while measurement of in-plane strain using (113) reflections and (022) reflections provided the deformation in the nanowire&’s radial axis. A clear stress-strain response was demonstrated; as the stress in the TaN film increases more strain is induced in the wires. The presence of radial strain in addition to longitudinal strain is unique to gate-all-around nanowires structures. Control and measurement of the radial strain as was demonstrated in this work is of high importance for the device design.
3:15 AM - R5.03
High Density Core-shell Silicon Nanowire Arrays on Non Preferential Substrate: Growth, Characterization and Possible Application
Ludovic Dupre 1 T. Gorisse 1 2 A. Letrouit Lebranchu 3 A. Solanki 1 P. Gentile 1 N. Pauc 1 H. Renevier 4 D. Buttard 1 5
1CEA Grenoble France2CNRS Grenoble France3CEA Grenoble France4Grenoble-INP Grenoble France5UJF Grenoble France
Show AbstractThe future use of nanostructures such as silicon nanowires in solar cells or nanodevices will occur only if their growth is self-organized, with high density and of very good crystalline quality in order to ensure great sensitivity and electronic properties. In this work we show that the use of self-organized nanoporous anodic aluminium oxide (AAO) and standard vapour-liquid-solid (VLS) silicon nanowire growth enables the production of very high density nanowire arrays with interesting structural, optical and electrical properties.
We demonstrate that the confined VLS growth of silicon nanowires in nanoporous AAO combined with standard microelectronics techniques leads to the production of silicon nanowire arrays presenting a remarkably flat overall surface. The density of nanowires, around 10^10 cm-2, and long range self organized hexagonal geometry of the arrays can be compared to the one achieved with top-down techniques. Height, diameter and density of nanowires can easily be controlled by adjusting the structural parameters of the AAO template, thus enabling different geometries for various applications.
We show that this technique can be used to grow aligned semiconductor nanowire arrays on non preferential substrates. Silicon nanowire arrays were grown on a Si(100) wafer. Transmission electron microscopy and synchrotron X-ray diffraction analyses revealed that nanowires epitaxially grew along the [100] direction imposed by the substrate instead of their preferential [111] growth axis. Vertically aligned germanium nanowires were also grown on a silicon substrate, attesting the efficiency of this technique to produce non epitaxial highly ordered nanostructures. Structural characterizations using the above mentioned techniques also showed the good quality of the produced semiconductor crystals enabling their potential integration in devices.
A possible use of these structures was explored by producing arrays of P-i-N core-shell silicon nanowire junctions. The quality of the radial junction was explored by electrically characterizing the devices with I(V) measurements on single nanowires. The quality of the interface between core and shell is crucial since the ideality factors observed are fairly high (nasymp;3) if the interfaces are badly prepared.
The high density arrays also act as light traps which results in a strong decrease of the reflectivity of the sample to less than 5% compared to the 45% of bulk silicon. This feature is a key point for the possible use of these structures as optical detectors.
3:30 AM - R5.04
Decoupling the Smoothness, Diameter and Pitch in Large Silicon Nanowire Arrays made by Metal-Assisted Chemical Etching (MACE)
Junghoon Yeom 1 Daniel Ratchford 1 Christopher R. Field 2 Pehr E. Pehrsson 2
1National Research Council Washington USA2Naval Research Laboratory Washington USA
Show AbstractThe combination of nanosphere lithography (NSL) using polystyrene (PS) nanosphere nanomasks with metal-assisted chemical etching (MACE) is a fast and inexpensive way to create very large arrays of vertically aligned silicon nanowires (VA-SiNWs) for applications ranging from photonic crystals to chemical sensors [1]. However, the important array properties like the nanowire density (pitch), diameter, and sidewall smoothness, are difficult to independently control [2], and can profoundly affect the device properties [3]. Fabrication challenges include decoupling the nanowire diameter and pitch, smooth, large-scale reduction of the nanosphere diameter by reactive ion etching (RIE), and efficient MACE on arrays in which the nanospheres are separated by large stretches of unbroken gold film. In this paper, we present the fabrication of two-dimensional, periodic, non-close-packed arrays of nanospheres with precisely controlled diameters, pitch, and roughness, and demonstrate the utility of the sphere arrays as a template to form arrays composed of well-separated, narrow silicon nanowires. Controlled RIE in an inductively-coupled plasma reduces the self-assembled nanospheres to less than a fifth of their original diameter, while retaining their surface smoothness and hexagonal arrangement. An array of silicon nanowires with a large pitch and a small diameter (for example, with a 50 nm diameter and 500 nm pitch), not commonly found in open literature, is created from such nanosphere arrays to show that our approach extends the types of Si nanostructures that can be fabricated using the NSL and MACE techniques. The potential importance of diameter, pitch and smoothness in a VA-SiNW array is demonstrated for ChemFET sensors [4] and optical applications [5].
[1] Z. Huang, N. Geyer, P. Werner, J. de Boor, U. Gösele, Adv. Mater. 2011, 23, 285-308.
[2] A. Plettl, F. Enderle, M. Saitner, A. Manzke, C. Pfahler, S. Wiedemann, P. Ziemann, Adv. Funct. Mater., 2009, 19, 3279-3284.
[3] W. Chern, K. Hsu, I.S. Chun, B.P. de Azeredo, N. Ahmed, K.-H. Kim, J.-M. Zuo, N. Fang, P. Ferreira, X. Li, Nano Lett., 2010, 10, 1582-1588.
[4] H.J. In, C.R. Field, P.E. Pehrsson, Nanotechnol., 2011, 22, 355501.
[5] M. Seo, M. Wober, P. Steinvurzel, E. Schonbrun, Y. Dan, T. Ellenbogen, K.B. Crozier, Nano Lett., 2011, 11, 1851-1856.
3:45 AM - R5.05
Transferring Vertically Aligned Silicon Nanowire Arrays to Arbitrary Substrates
Jeffrey M Weisse 1 Chi Hwan Lee 1 Dong Rip Kim 1 Xiaolin Zheng 1
1Stanford University Stanford USA
Show AbstractIt is highly desirable to separate silicon nanowire (SiNW) arrays from their fabrication substrates and to transfer them to arbitrary substrates for applications involving vertical SiNWs, ranging from vertical surround-gate field-effect transistors, biosensors, solar cells, to thermoelectric devices. As such, we can not only remove the electrical and optical impact from the fabrication substrate but also enable integration of vertical SiNWs on diverse flexible/conductive substrates for enhanced functionality. Towards this goal, we report two simple vertical transfer printing methods (V-TPMs) that detach SiNW arrays from their original fabrication substrates and further attach the detached SiNW arrays to any receiving device substrates, while retaining their vertically aligned orientation over a large area throughout the process. The first V-TPM forms a horizontal crack at a controlled position through a metal-assisted chemical etched (MACE) SiNW array by creating a localized surplus of Ag+ ions that generate new horizontal etching pathways between two consecutive MACE steps. The SiNWs easily break at the crack when they are transferred to other substrates, leaving NWs of uniform lengths on the receiver substrates. The second V-TPM forms a porous sacrificial handling layer beneath the SiNW arrays prior to being released from the substrate by electropolishing. This second V-TPM works for all types of SiNWs regardless of their fabrication/growth methods and dimensions. In addition, with our V-TPMs, we formed metallic contacts on both ends of the SiNWs with mechanical supporting and electrical insulating polymer in between. Electrical characterization of the SiNW devices exhibited good current-voltage (I-V) characteristics independent of substrate materials and bending conditions. We believe that our two V-TPMs will greatly facilitate the fabrication and device implementation of vertical SiNW devices, opening up new possibilities for future large-scale SiNW-based 3-D electronic, optical, biological and sensing devices.
4:30 AM - R5.06
Shrink-induced Directed Assembly of Semiconductor Nanowires for New Ultrathin Nanomaterials
Jaehoon Bang 1 SungWoo Nam 1
1University of Illinois at Urbana-Champaign Urbana USA
Show AbstractFlexible bottom-up assembly of nanoscale materials with tunable composition and structure makes possible the creation of new heteromorphic mesoscale materials that have not previously existed. Here, we report a simple and reproducible method of amplifying the density of semiconductor nanowire (NW) arrays by using soft-matter transformation of shape-memory polymers. We demonstrate that thermally-induced transformation of polystyrene substrate densifies pre-assembled NWs into ultrahigh density arrays. Quantitative analysis shows that the density of the NW arrays is enhanced by at least 100%, consistent with the level of soft-matter transformation under uniaxial constriction. We further demonstrate that our physically-directed assembly approach could be combined with other assembly methods, such as chemical or electric-field assisted approaches to push the density of assembly up to levels of close-packing. Finally, we demonstrate that the close-packed NW arrays exhibit light absorption cross-section exceeding its physical cross section, demonstrating enhanced light trapping effects. We believe our approach to forming close-packed NW arrays by soft-matter transformation offers a unique avenue for creating new ultrathin nano-materials in the future.
4:45 AM - R5.07
Scanning Probe Microscopy Measurements of Semiconductor Nanowire Devices during Operation
James Luke Webb 1 O. Persson 1 M. Hjort 1 K. A Dick 3 L. Samuelson 2 C. Thelander 2 A. Mikkelsen 1 R. Timm 1
1Lund University Lund Sweden2Lund University Lund Sweden3Lund University Lund Sweden
Show AbstractIII-V semiconductor nanowire devices have attracted recent interest for a number of potential applications, such as nanowire field effect transistors (FETs) as an alternative to conventional Si transistors [1], as light emitting devices (LEDs) and light absorbers in high efficiency solar cells, as chemical sensors [2] and for study of novel and fundamental physics.
Scanning tunneling microscopy (STM) and non-contact atomic force microscopy (AFM) are well suited for studies of surface properties of nanostructures due to their extremely high resolution and non-destructive nature. However, in conventional experimental setups, such microscopy measurements must be carried out separately from both each other and from electrical transport measurements, preventing correlation between data on electrical transport, material characteristics and device configuration. Here, we present data taken under Ultra High Vacuum in a system capable of performing AFM, STM and electrical transport measurement in-situ, with the additional capability of in-situ device annealing and removal of oxide and surface contamination by atomic hydrogen [3].
In addition to probing device surface properties, we also consider the effect of the biased tip on the conductance through a nanowire device via capacitive coupling, known as scanning gate microscopy (SGM) [4]. This technique permits study of local conductance behavior and carrier density deeper within the nanowire than would be possible via STM, providing further data in beyond that possible by surface scanning techniques.
We present our latest STM, AFM and SGM measurements, in conjunction with electrical transport measurements, for a device geometry consisting of both homogeneous InAs nanowires and axial GaSb/InAs Esaki diode nanowire devices on Si/SiO2 substrates contacted laterally by lithographically patterned Ti/Au electrodes [5]. We demonstrate the spatial dependence of the device conductance on the tip (gate) position at varying device and tip bias at room temperature and show the change in local potential measured by scanning tunneling spectroscopy (STS) measurements in STM mode both along the nanowire and particularly in the GaSb/InAs junction region. In addition, we present proposals for future work utilizing the techniques discussed.
[1] Thelander et al., Materials Today, 9,10, p28-35 (2006)
[2] P. Yang, MRS Bulletin, 37, p806-813 (2012)
[3] Hilner et al, Nano Lett, 8 (11), p3978-3982 (2008)
[4] Zhou et al., APL, 90, 233118 (2007)
[5] Ganjipour et al., Nano Lett., 11, p4222-4226 (2011)
5:00 AM - R5.08
Unlocking Doping and Compositional Profiles of Nanowire Ensembles Using SIMS
Andrew Chung Ern Chia 1 Jonathan P Boulanger 1 Ray R LaPierre 1
1McMaster University Hamilton Canada
Show AbstractIn recent years, semiconductor nanowires (NWs) have been studied extensively in order to harness their unique properties and small dimensions for novel devices such as NW transistors, lasers, LEDs and solar cells [1]. In order for these devices to be realized, excellent control of both doping density and uniformity in the NWs is critical. However, the lack of simple and precise tools to measure doping in semiconductor NWs greatly limits the study of NW doping [2] and the development of NW devices accordingly.
While many methods are available for giving a qualitative measure of doping densities in NWs, only mass spectroscopic methods, such as atom probe tomography (APT), provide a means of precise, quantitative doping density measurement [2]. Despite the power and precision of APT, this technique is known to be tedious, complex and slow. Alternatively, the use of secondary ion mass spectrometry (SIMS) on NWs is limited due to the non-planar geometry of NW ensembles resulting in the background substrate being sputtered and analyzed simultaneously to the NWs.
To address the need for simple and precise tools to measure semiconductor NW doping, SIMS was performed on planarized NW ensembles. By embedding the NWs in a polymer [3], the top surface of the sample was made planar, while the background substrate was protected from the ion beam, thus allowing for precise dopant density quantification. Using thin film standards, standard SIMS analysis was used to precisely calculate the doping density as a function of height in the NW ensemble. This marked the first use of conventional SIMS to accurately determine the doping density with excellent depth resolution. Additionally, this is the first presentation of SIMS as the only reported tool for characterizing the segment height uniformity of any arbitrary axial heterostructure NW ensemble.
1. Joyce, H. J. et al. III-V semiconductor nanowires for optoelectronic device applications. Progress in Quantum Electronics 35, 23-75 (2011).
2. Wallentin, J. & Borgström, M. T. Doping of semiconductor nanowires. Journal of Materials Research 26, 2142-2156 (2011).
3. Chia, A. C. E. & LaPierre, R. R. Contact planarization of ensemble nanowires. Nanotechnology 22, 245304 (2011)
5:15 AM - R5.09
Innovative Micro-supercapacitors with Highly Doped Silicon Nanotrees
Fleur Thissandier 1 2 Pascal Gentile 2 Nicolas Pauc 2 Thierry Brousse 3 Gerard Bidan 1 Emmanuel Hadji 2 Said Sadki 1
1CEA Grenoble Grenoble France2CEA Grenoble Grenoble France3IMN (CNRS-universitamp;#233; de Nantes) Nantes France
Show AbstractIn the race to competitiveness, micro-electronic devices have to be more and more efficient. One way to reach this goal is to integrate supercapacitors in their circuit [1]. However, their integration in micro-electronic circuit is still a challenge. Elaborate silicon based micro-supercapacitors should facilitate it.
We will present the elaboration and the electrochemical characterization of micro-supercapacitors electrodes made either with silicon nanowires (SiNWs) [2] or highly doped silicon nanotrees (SiNTrs), with a special focus on our recent advances on the SiNTrs growth, their characterization and their use as electrodes in micro-supercapacitor devices.
SiNWs and SiNTrs based electrodes are elaborated by Chemical Vapor Deposition (CVD) on highly doped silicon substrate via localized gold catalysis. Highly doped SiNTrs have been grown via two different methods: either with two CVD growths separated by a new gold catalyst deposition, or with only one growth [3, 4]. For this second method, the trunks and the branches growth are separated by an annealing which enables the gold catalyst to pour along the nanowires. Morphologies are checked after the growth by SEM. Their doping level can be monitored by the doping gas/silane ratio thanks to the Vapor Liquid Solid (VLS) mechanism. Electrochemical performances of theses electrodes are evaluated with an organic electrolyte (NEt4BF4, PC, 1M) and an ionic liquid (EMI-TFSI) by Electrochemical Impedance Spectroscopy and dynamic electrochemistry (cyclic voltametry and galvanostatic charge/discharge).
First results highlight that increasing SiNWs length, density and doping level enable to enhance electrode capacity. A 440 µF.cm-2 capacity, i.e. about 75 fold bulk silicon capacity, has been obtained by using dense, highly doped, 50 µm long silicon nanowires. Moreover, when SiNWs doping level is increased, electrodes acquire a quasi-ideal supercapacitor behavior [2].
That&’s why highly doped silicon nanotrees have been grown. The HCl gas ratio during the trunk growth, the annealing time and temperature have been identified as key parameters to obtain hyperbranched SiNTrs. A 950 µF.cm-2 electrode capacity, i.e. about 158 fold bulk silicon capacity, has been reached by using highly doped, dense, hyperbranched (L asymp; 3 µm, Oslash; asymp; 30 nm), 40 µm long silicon nanotrees. Devices built with two of these electrodes show highly stable cycle efficiency (97 %) and capacity over at least 100 000 cycles for current density ranging from 5 to 500 µA.cm-2. The use of ionic liquid as electrolyte enables to enlarge the potential window and thus improve devices performances.
[1] J.R. Miller, P. Simon, Science, 2008, 321, 651
[2] F. Thissandier, A. Le Comte, O. Crosnier, P. Gentile, G. Bidan, E. Hadji, T. Brousse, S. Sadki, Electrochem. Comm., 2012, 25, 109
[3] P. Gentile, et al, Nanotechnol., 2008, 19, 125608
[4] G.S. Doerk, N. Ferralis, C. Carraro, R. Maboudian, J. Mater. Chem., 2008, 18, 5376
5:30 AM - R5.10
Ballistic InAs Nanowire Transistors
Steven Chuang 1 Qun Gao 2 Rehan Kapadia 1 Alexandra C. Ford 1 3 Jing Guo 2 Ali Javey 1 3
1UC Berkeley Berkeley USA2University of Florida Gainesville USA3Lawrence Berkeley National Laboratory Berkeley USA
Show AbstractThe ballistic transport of electrons in top-gated InAs nanowire (NW) transistors is explored experimentally and theoretically. From length dependent studies, the low-field mean free path is directly extracted as ~150nm for both the 1st and 2nd subbands. The mean free path is found to be independent of temperature due to the dominant role of surface roughness scattering. The mean free path was also theoretically assessed by a method that combines the Fermi&’s golden rule and a numerical Schrödinger-Poisson simulation to determine the surface scattering potential, with the theoretical calculations being consistent with experiments. Near ballistic transport (~80% of the ballistic limit) is demonstrated experimentally for transistors with a channel length of ~60 nm, owing to the long mean free path of electrons in InAs NWs.
R6: Poster Session
Session Chairs
Wednesday PM, April 03, 2013
Marriott Marquis, Yerba Buena Level, Salons 7-8-9
9:00 AM - R6.01
Synthesis and Phase Change Properties of Bi-doped GeTe Nanowires
Jie Zhang 1 Rong Huang 1 Fenfen Wei 1 Long Wang 1 Tao Kong 1 Guosheng Cheng 1
1Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences Suzhou China
Show AbstractChalcogenide materials have been widely used for data storage applications owing to their reversible phase transition from crystalline state to amorphous state. However, enormous programming current to melt the chalcogenide materials for amorphous state has become a major issue hindering the commercialization of phase-change memory devices. To resolve this issue, many dopants have been reported to effectively tune the alloys&’ phase-change properties in the thin films field. Currently, little work has been further done to modify the phase-change behaviors through proper level of dopant incorporated into phase-change nanowires. In this study, high-purity, single crystalline Bi-doped GeTe nanowires were synthesized via a chemical vapor deposition (CVD) approach. Transmission electron microscopy (TEM) and X-ray diffraction (XRD) patterns showed that the introduction of Bi elements preserves the morphology and crystal structure of GeTe nanowires. The energy-dispersive X-ray (EDX) spectrometry results indicated that Bi is incorporated into GeTe nanowires with a doping level of around 3 at. %. More remarkable, the whole XRD peaks for as-fabricated Bi-doped GeTe nanowires with Bi elements had a corresponding shift as compared with that of undoped GeTe nanowires, implying material parameter change. Accordingly, ab initio calculations also further confirmed that the introduction of Bi elements results in the variation on crystal parameters of rhombohedral GeTe phase and formation of structural defects. Furthermore, phase-change properties of the Bi-doped GeTe nanowires were investigated and results showed that their resistance of crystalline and amorphous states increased compared to undoped GeTe nanowires, followed by the decrease in the programming current. Bi-doped GeTe nanowires exhibit great potential for data storage application required with low programming current for phase switching operation due to excellent performances.
9:00 AM - R6.02
Demonstration of Defect-free and Composition Tunable GaxIn 1-xSb Nanowires
Sepideh Gorji Ghalamestani 1 Martin Ek 2 Jonas Johansson 1 Philippe Caroff 3 Kimberly A.Dick 1 2
1Lund University Lund Sweden2Lund University Lund Sweden3Institut damp;#8217;amp;#201;lectronique, de Microamp;#233;lectronique et de Nanotechnologie Lille France
Show AbstractAntimonide-based nanowires have remarkable properties such as narrow band gaps and high carrier mobilities. Among them, InSb and GaSb have the highest electron [1-2] and hole mobilities [3], respectively, which make them attractive elements for high speed n- and p-type devices. Therefore, the combination of InSb and GaSb in the ternary GaxIn1-xSb structure is expected to demonstrate additional interesting properties for various device applications such as infra-red detectors and optical and thermophotovoltaic devices [4-5]. Moreover, the growth of the GaxIn 1-xSb in nanowire geometry allows formation of high quality material due to the possibility of elastic strain relaxation at the free borders, compared to the case of 2D layer-by-layer growth.
We demonstrate the first successful growth of GaxIn1-xSb nanowires, using metalorganic vapor phase epitaxy (MOVPE). The nanowires are grown on top of stems of InSb, themselves grown on an InAs stem (InAs/InSb/GaxIn1-xSb geometry), to allow switching one single element at each interface of the heterostructure. Furthermore, we show how to tune the GaxIn1-xSb alloy composition over a large range, where In-content varies from 1 to x~0.3. Compositional analysis on the grown nanowires, performed by X-ray energy dispersive spectroscopy (XEDS), suggests a very high vapor/solid compositional ratio. This ratio is considerably higher than for other similar systems such as GaxIn1-xAs and GaxIn1-xP, and we attributed it to the specificities of Sb group V element. Our results indicate that lowering Sb molar fraction allows formation of higher Ga-content GaxIn1-xSb alloy nanowires.
In addition, the morphology of these nanowires is controllable from high aspect ratio rod-like shape to distorted diamond-shaped morphology. Interestingly, the growth rate of these nanowires showed a relatively unusual direct diameter dependence, which we explain with a model including mass transport and the Gibbsminus;Thomson effect [6].
Finally, transmission electron microscopy (TEM) results indicate that all the grown nanowires have perfect zinc blende crystal quality for all the compositions, which is highly promising for future device applications.
(1) P. Caroff, J. B. Wagner, K. A. Dick, H. A. Nilsson, M. Jeppsson, K. Deppert, L. Samuelson, L. R. Wallenberg, L-E. Wernersson, Small 2008, 4, 878minus;882.
(2) S. R. Plissard, D. R. Slapak, M. A. Verheijen, M. Hocevar, G. W. G. Immink, I. van Weperen, S. Nadj-Perge, S. M. Frolov, L. P. Kouwenhoven, E. P. A. M. Bakkers, Nano Lett. 2012, 12, 1794minus;1798.
(3) M. Jeppsson, K. A. Dick, J. B. Wagner, P. Caroff, K. Deppert, L. Samuelson, L-E. Wernersson, J. Cryst. Growth 2008, 310, 4115minus;4121.
(4) S. S. Miya, V. Wagener, J. R. Botha, Physica B 2012, 407, 1611minus;1614.
(5) A. J. Goza, S. E. Tritchler, D. F. Bliss, B. C. Houchens, J. Cryst. Growth 2011, 337, 60minus;64.
(6) S. Gorji Ghalamestani, M. Ek, B. Ganjipour, C. Thelander, J. Johansson, P. Caroff, K. A. Dick, Nano Lett. 2012, 12, 4914minus;4919
9:00 AM - R6.03
Needles and Haystacks: Influence of Catalytic Metal Nanoparticles on Structural and Vibrational Properties and Morphology of Silicon Nanowires Synthesized by Metal-assisted Chemical Etching
Khalid Dawood 1 Tripathy Sudhiranjan 2 Surani Bin Dolmanan 2 Tsu Hau Ng 1 Tan Hao 1 Jeffrey Lam 1
1GLOBALFOUNDRIES Singapore Pte. Ltd Singapore Singapore2Institute of Materials Research and Engineering (IMRE), Agency of Science Technology and Research (A*STAR) Singapore Singapore
Show AbstractMetal-assisted chemical etching (MACE) of silicon (Si) has attracted substantial attention in recent years due to its simplicity in fabricating Si nanostructures of various dimensions, aspect ratios and properties, with a wide range of applications from electronics, optoelectonics, solar energy conversion, energy storage, thermal power energy conversion, to chemical and biological sensors and biomimetic superhydrophobic surfaces for the possible integration into lab-on-a-chip devices. Here we report on the structural and vibrational characterization of silicon (Si) nanowire arrays synthesized by metal-assisted chemical etching (MACE) of Si deposited with metal nanoparticles. Gold (Au) and silver (Ag) metal nanoparticles were synthesized by glancing angle deposition and the etching was performed in a mixture of H2O2 and HF solution. We studied the structural differences between Au and Ag-etched Si nanowires. In addition, influence of etching parameters such as HF and H2O2 concentrations on the resultant nanowire morphologies were studied. The morphology of the synthesized nanowires was characterized by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The optical and vibrational properties of the Si nanostructures were studied by photoluminescence and Raman spectroscopy using three different excitation sources (UV, visible and near-infrared) and are correlated to their microstructures. The structural differences between Au-etched and Ag-etched nanowires are due to the higher degree of hole injection by the Au nanoparticle and diffusion into the Si nanowires, causing enhanced Si etching by HF on the nanowire surface. The excessive injection of holes into Si at the metal-Si interface and its diffusion to the nanowire surfaces (note that the diffusion length of holes exceeds the length of the nanostructures) facilitate the etching of Si on these surfaces, leading to a mesoporous network of Si nanocrystallites. The greater the dissolution of Si on the nanostructure surfaces, the higher the porosity of the nanowires. The injection rate of holes depends on the reduction of oxidizing agent (H2O2), which is dependent on choice of metal catalyst. When etched with Au catalyst, “hay-stacked” mesoporous Si nanowires were obtained. The nanowires etched with Ag were consisted of a single crystalline core with a thin porous layer that decreased in thickness towards the bottom of the base. This is due to the higher catalytic activity of Au compared to Ag for H2O2 decomposition. In addition, the surface-enhanced resonant Raman scattering (SERRS) observed is attributed to the presence of the sunken metal nanoparticles. Such Si nanostructures may be useful for a wide range of applications such as photovoltaic and biological and chemical sensing.
9:00 AM - R6.04
Electrical Spin Transports in GaN Nanowires
Tae-Eon Park 1 2 Byoung-Chul Min 2 Sungwook Kim 1 Younho Park 1 2 Jung-Min Han 2 Dong-Jea Seo 1 Joonyeon Chang 2 Heon-Jin Choi 1
1Yonsei University Seoul Republic of Korea2Korea Institude of Science and Technology (KIST) Seoul Republic of Korea
Show AbstractSemiconductor spintronics provides a new venue for an emerging field of electronics based on the electron spin, which can overcome the limitation of conventional technology and realize fast and energy saving electronic devices. The wide-band-gap III-V semiconductor GaN is one of promising materials for the semiconductor spintronics because of its intriguing properties such as high carrier mobility, high electrical breakdown field, excellent optoelectronics properties, and particularly long spin-relaxation time due to its weak spin-orbit coupling (SOC). In addition, one-dimensional confinement and high quality crystalline structure of GaN nanowires provide an outstanding platform to study spin injection/detection and transport in semiconductor nanowires. Here we report the electrical-driven spin transport in GaN nanowires using non-local spin-valve measurements.
The GaN nanowires were synthesized using a chemical vapor transport process with Au catalyst. TEM analysis shows that the GaN nanowires have a shape of trigonal prism with single crystalline structure. The electrical properties of GaN nanowires were characterized using the geometry of field effect transistors. With low resistivity (~1.6 mOmega;cm at room temperature) and low contact resistance at the ferromanget/nanowire interface, GaN nanowires are suited for spin injection/detection study. To investigate the spin transport, we employed the non-local spin-valve geometry with CoFeB/MgO contacts. The non-local spin signal as a function of bias current was measured in a wide temperature range from 1.8 K to room temperature. The voltage signal from the spin accumulation in GaN nanowire is as high as 40 mu;V and decreases gradually with increasing temperature. It is noteworthy that the signal is observed up to room temperature. The temperature dependence of the non-local spin signal observed in GaN nanowires will be discussed in detail.
9:00 AM - R6.05
Wide Wavelength Tunable Semiconductor Nanowire Laser
Qing Yang 1 Jiabei Li 1 Chao Meng 1
1Zhejiang University Hangzhou China
Show AbstractSemiconductor nanowires (NWs) have attracted a lot of attention in the realization of nanoscale lasers, owing to their multifunctional behaviors as gain media, resonance cavities and passive waveguides. Wavelength tunability of lasers is one of the most important parameters for practical applications such as optical communications, environmental monitoring and spectroscopy analysis etc. It is highly desired to investigate the effects that influence the spectral characteristics of lasers. Recently, tunable semiconductor NW and nanoribbon lasers have been realized, using tunable band gap nanostructures as the composition tunable gain media. In this approach, a careful composition control is required for obtaining high crystal quality stoichiometric NWs. Alternatively, the peak wavelength of NW lasers can also be tuned by changing the geometry of the cavity structures, but the tunable range is limited to about 10 nm. Here we demonstrate an efficient way to achieve wide wavelength tunable lasers on single non-doped CdSe NWs. The laser wavelengths are tuned from 746 nm to 706 nm by changing the length of a single NW, from 289 mu;m to 8 mu;m with the same pump region and intensity. The length dependent wavelength tuning is attributed to self-absorption of the propagating light in the NWs. In addition, we demonstrate how the length of the NWs influences the lasing threshold, free spectral range and mode numbers. Our method offers a new concept for easily tuning the wavelength of NW laser, having prospective applications ranging from optical telecommunications to environmental monitoring. The thorough investigation on self-absorption, band gap renormalization and pulling effects also will give in-depth understanding of nanoscale laser, which is important for their practical applications.
9:00 AM - R6.06
Phase-field Crystal Modeling of Nanocrystal Growth
Edwin J Schwalbach 1 James A Warren 1 Kuo-An Wu 2 Peter W Voorhees 3
1National Institute of Standards and Technology Gaithersburg USA2National Tsing Hua University Hsinchu Taiwan3Northwestern University Evanston USA
Show AbstractThe Phase-Field Crystal (PFC) model is a relatively new form of the phase-field continuum model capable of simulating crystal growth. PFC models naturally incorporate the effects of elasticity and crystal anisotropy, as well as topological defects such as dislocations and grain boundaries. Recent work has extended this model to the diamond cubic crystal structure relevant to semiconductor growth. This combination of physical effects as well as the atomic length and diffusive time scales accessible to PFC models make them a natural choice to study nanocrystal growth scenarios such as Vapor-Liquid-Solid (VLS) nanowire growth.
Existing PFC models include liquid and crystal phases, but we have developed a variation of the PFC model that adds a vapor phase. This addition allows us to investigate the dynamic behaviors and interactions between faceted solid-vapor, solid-liquid, and liquid-vapor interfaces and vapor-liquid-solid trijunctions. We first describe the model's ability to reproduce various interface properties important to VLS growth. Then, we examine the VLS trijunction and its effect on the motion and shape of solid-liquid interfaces during solidification and describe implications for VLS nanowire growth. Finally, we will address grain-boundaries within nanowires and their effect on the solid-liquid interface.
9:00 AM - R6.07
Improving Yields in Bridging Silicon Nanowires and Rationally Controlling the Nanobridge Characteristics
Jin Yong Oh 1 M. Saif Islam 1
1UC Davis Davis USA
Show AbstractAlthough bridged nanowires (NWs) offer several attractive features such as contamination-free aligned NWs, highly linear and low resistance ohmic connection to the electrodes, a formidable challenge in the reproducible fabrication of devices enabled by NW bridges is their poor yields. In this work, we present simple and reproducible methods to fabricate high yield arrays of Si NW bridges. NWs were grown via the VLS technique directly on vertically oriented pre-patterned Si electrode arrays on a silicon-on-insulator wafer. As a Si gas source and a catalytic metal, SiH4 and a citrated gold (Au) colloid (diameter 200 nm, 7.0×108/cm3) were employed, respectively. The Au nanoparticles were deposited on the Si surfaces by two methods - the addition of hydrofluoric (HF) acid and the application of poly-L-lysine to the Au colloid before the deposition. Both methods significantly improved the adhesion of Au nanoparticles to Si surfaces within a short time. We observed significantly improved yields of NW bridge arrays as high as 97 % and 53 %, respectively, by employing 2 min deposition processes with these two methods. Despite the high yields, the ratio of arrays with fewer NW bridges was found to decrease due to increased agglomeration of nanoparticles on the Si surfaces. Furthermore, the deposition of diluted colloids (50 % and 80 % of the original colloid) with poly-L-lysine coating increased the occurrence of bridges with fewer NWs at the expense of yields. These results suggest that by choosing a proper deposition method as well as parameters such as the concentration of a colloid and deposition time, we can reproducibly prepare high yield arrays of NW bridges. Our new methodology for fabricating NW bridge arrays will be useful for large-area NW applications, such as bio/chemical sensors, FETs and mechanical resonators.
9:00 AM - R6.08
Demonstration of Ambipolar Gate-all-around Field Effect Transistors Based on Epitaxially Bridged Silicon Nanowires
Jin Yong Oh 1 M. Saif Islam 1
1UC Davis Davis USA
Show AbstractIn the present work, to explore the potential of nanowire transistors, we fabricated and characterized the first gate-all-around field effect transistors (GAA-FETs) with epitaxially bridged Si nanowires grown by the vapor-liquid-solid technique. GAA-FETs are fabricated from lightly doped p-type bridged silicon nanowires, which root on the vertically oriented surfaces of the Si (0.1 #8486;cm) electrode arrays in (110)-oriented p-type silicon-on-insulator wafers. As a gate dielectric, 100 Å thick SiO2 was grown by dry oxidation, followed by the conformal deposition of polysilicon surrounding the entire nanowire channel. Lastly, platinum contacts were formed on the Si electrodes for making source/drain ohmic contacts. GAA-FETs with nanowire bridges showed the excellent transistor characteristics with negligible hysteresis and no dependency on sweep directions. An on/off current ratio was 107 (0.1 µA /10 fA) and about 106 (5 µA /2 pA) at the drain bias (Vd) of -50 mV and -1 V, respectively. For a gate sweep of -10 to +10 V, GAA-FETs exhibited an ambipolar behavior: accumulation mode p-type FET property (hole dominant) at Vg < 0 and inversion mode n-type FET property (electron dominant) at Vg > 0. While that in the n-type FET regime shifts from 1.3 V to 0.6 V as Vd decreases from -50 mV to -1 V, the threshold voltage in the p-type FET regime stays near -2.0 V. The subthreshold swings in both the p-type accumulation mode and the n-type inversion mode were about 250-280 mV/dec. It is well known that the ambipolar behavior in MOSFETs can be attributed to Schottky barriers between the channel and source/drain electrodes. As such, we notice that the ambipolar characteristics of our GAA-FETs can be attributed to a Schottky barrier presumably due to a gold-silicide layer at the interface between the nanowire channel and the Si electrodes. The presented fabrication scheme as well as ambipolar properties of Si nanowire GAA-FETs will be able to pave the way to develop a variety of Si nanowire GAA-FET circuits with high reproducibility.
9:00 AM - R6.09
High Quality Long n-GaN:Si Nanowires on Si(111) Using MOCVD
Min Hee Kim 1 Ji-Hyeon Park 1 Cheul-Ro Lee 1
1Chonbuk National Univ. Jeon ju Republic of Korea
Show AbstractSemiconductor nanostructured materials have attracted extensive interest over the past decade due to their importance in fundamental research and the development of new generation novel devices. As the potential building blocks for nano-electronic, nano-optical, and nano-mechanical devices, nanowires have received considerable attention from the scientific and engineering communities. Gallium nitride (GaN) with a direct and wide band bandgap of 3.39 eV at room temperature has been widely used in opto-electronic and high power high-frequency electronic devices. GaN is also a promising material for field emitters because of its low electron affinity as well as its excellent physical and chemical stabilities. Among gallium nitride materials, GaN NWs have attracted much attention in the past few years due to their potential applications in photonic and biological nanoscale devices such as blue light emitting diodes, short wavelength UV nano-lasers, and biochemical sensors. The nanowire geometry improves the crystalline quality by decreasing the dislocation density and internal stress while enhancing the light guiding and extraction. The wires also serve as very interesting templates for obtaining uniaxial/coaxial InGaN/AlGaN-based heterostructures using free surface relaxation and different facet orientations.
A two-step growth method for growing high quality long n-GaN:Si nanowires (NWs) on Si(111) substrates using metal organic chemical vapor deposition (MOCVD) was developed. In the first step u-GaN seeds were grown at 710 C by pulsed growth method of MOCVD and in the second stage, we suitably increased the growth temperature to 950 C in order to grow the high quality long n-GaN:Si NWs by continuous flow mode of MOCVD. We grew n-GaN:Si NWs at various pair numbers of u-GaN seed so as to examine its effect on the growth of the NWs. The density and length of n-GaN:Si NWs were improved with the increase of seeds up to 10 pairs. The number of seed pairs determines the den-sity and length of n-GaN:Si NWs, but they did not affect its diameter directly. Field emission scanning electron mi-croscopy, X-ray diffraction, photoluminescence, Cathodoluminescence and high-resolution transmission electron mi-croscopy were used to characterize the specimens.
9:00 AM - R6.10
m-plane InGaN/GaN MQW Nanowires Grown on Si Substrate by Using MOCVD
Cheul-Ro Lee 1 Yong-Ho Ra 1 Ji-Hyeon Park 1 Byung June Baek 1 San Kang 1 Min-Hee Kim 1 Hee-il Yoo 1
1Chonbuk National University Jeon Ju Republic of Korea
Show AbstractWe demonstrate the growth of high-quality non-polar (m-plane) and semi-polar (r-plane) multiple quantum well (MQW) nanowires (NWs) for high internal quantum efficiency light emitting diodes (LEDs) without polarization. Highly aligned InGaN/GaN MQW layers were grown coaxially on the {1-100} sidewalls of c-axis n-GaN NWs on Si(111) substrates by pulsed flow metalorganic chemical vapor deposition (MOCVD) technique. The photoluminescence (PL) measurements revealed that the wavelength and intensity of MQW structure with various pairs (2 to 20) were observed to be very stable and easily controlled In concentration (InxGa1-xN (x = 0.12 ~ 0.76)) having the ability to tune InGaN emission into the blue-orange region of the visible spectrum. The cathodoluminescence (CL) spectrum of individual InGaN/GaN MQW NW is dominated by the band-edge emission at 369 and 440 nm with a relatively homogeneous profile of parallel alignment. High-resolution transmission electron microscopy (HR-TEM) studies of coaxial InGaN/GaN MQW NWs measured along <0001> and <2-1-10> zone axes revealed that the grown NWs were uniform with six non-polar m-plane facets without any dislocations and stacking faults. The p-GaN/InGaN/GaN MQW/n-GaN NWs vertical LEDs showed a current rectification with a sharp onset voltage at 5.4 V in the forward bias. The linear enhancement of output power could be attributed to the elimination of piezoelectric fields in InGaN/GaN MQW active region. Overall, the feasibility of obtaining low defect and strain free m-plane coaxial NWs using pulsed MOCVD can be utilized for the realization of high power LEDs without efficiency droop. These kind of coaxial NWs are viable high surface area MQW structures for the enhanced efficiency of LEDs.
9:00 AM - R6.11
High-quality Uniaxial InxGa1-xN/GaN MQW NWs on Si(111) Grown by MOCVD and Its LED Characteristics
Cheul-Ro Lee 1 Yong-Ho Ra 1 Min-Hee Kim 1 Ki-Young Jang 1 Seong-Un Park 1 Ji-Hyeon Park 1
1Chonbuk national university Jeon Ju Republic of Korea
Show AbstractWe report on the growth and device characteristics of uniaxial p-GaN/InxGa1-xN/GaN multiple quantum wells (MQW)/n-GaN nanowires (NWs) were grown on Si(111) substrates by using metalorganic chemical vapor deposition (MOCVD) technique. The diameter of the resultant NWs was observed to vary from 200 to 250 nm, and the average length was of 1.5 - 2 µm. The surface morphology, optical and electrical characterization of the grown p-GaN/InxGa1-xN/GaN MQW/n-GaN NWs were studied by field-emission scanning electron microscopy (FE-SEM), high-resolution transmission electron microscopy (HR-TEM), photoluminescence (PL), cathodoluminescence (CL), electroluminescence (EL), current - voltage (I - V) and light power - current (L - I) measurements. The steep and intense PL and CL spectra were observed owing to the to the strain free NWs on Si(111) substrates. The I - V characteristics of these NWs exhibited typical p-n junction light-emitting diodes (LEDs) and showed a sharp onset voltage at 2.7 V in the forward bias. The output power was linearly increased with increasing current. The result indicates that the pulsed MOCVD technique is an effective method to grow uniaxial p-GaN/InxGa1-xN/GaN MQW/n-GaN NWs on Si(111) which is more advantageous than other growth techniques such as molecular beam epitaxy. These kind of uniaxial NWs are promising to allow flat band quantum structures that are shown to improve the efficiency of LEDs.
9:00 AM - R6.12
VLS-grown InP Nanowires on Iron Metal Mediated by Graphene Layers
Kouta Tateno 1 Kenichi Kanzaki 1 Guoqiang Zhang 1 Hideki Gotoh 1 Hiroki Hibino 1 Tetsuomi Sogawa 1
1NTT Basic Research Laboratories Atsugi-shi Japan
Show AbstractGraphene has attracted considerable interest as a new material for high-speed devices and for stretchable, foldable large-scale optoelectronic devices [1]. The growth of III-V semiconductor nanowires on optically transparent graphene sheets is a promising technique, especially for solar cells. We have shown VLS-grown GaP, GaAs and InP nanowires grown on graphene layers using/SiC(0001) and HOPG substrates [2]. In order to apply large-scale growth of nanowires on graphene by the roll-to-roll fabrication method in the future, we have investigated VLS growth of InP on graphene on Cu, Ni, and Fe. Prior to the nanowire growth, the graphene layers were formed on the metals, and Au particles were dispersed on the substrates as catalyst. The growth was carried out in a low-pressure metal-organic vapor-phase epitaxy reactor [2]. Trimethyl-indium was the group-III source, and tertiary-butyl phosphine (TBP) was the group-V source. The structures were observed by scanning electron microscopy (SEM). On graphene layers on Cu and Ni films formed on sapphire, the InP nanowire growth failed. The morphology of these surfaces indicated that the TBP reacted with the metals to form some compounds during the source supply. On the other hand, InP nanowire growth using Fe was successful. Generally, the graphene layers do not ideally cover the entire metal surface where defects and domain boundaries exist so that we think the source gases penetrates the graphene layers. With Fe, carbon-incorporated iron, which is known as hard steel, effectively prevents the reaction with TBP, and enough phosphorous is supplied to grow InP nanowires at the catalysts. This work was partly supported by KAKENHI (23310097).
[1] S. Bae et al., Nat. Nanotechnol. 5 (2010) 574.
[2] K. Tateno et al., MRS Proceedings (2012) mrss12-1439-aa04-11.
9:00 AM - R6.13
Bending Effects on Lasing Action of Semiconductor Nanowires
Weisong Yang 1 Qing Yang 1 Yaoguang Ma 2
1Zhejiang University Hangzhou China2Peking University Beijing China
Show AbstractSemiconductor nanowires (NWs) are promising for realization of the nanoscale lasers owing to their unique properties as gain media, resonant cavities and passive waveguides. And high flexibility has been one of their advantages in wide applications of nanoscale integrated circuits. In this work, we investigate the bending effects on lasing action of CdSe NWs. With the curvature radius of the bent NWs becoming smaller, the threshold increases and the differential efficiency decreases. Strain-induced change in band structure, which is indicative of the coupling of mechanical and electronic properties, is responsible for obvious red shift of the lasing peaks. We also observe that the number of the lasing modes reduces as the NWs are bent to smaller bending radius. Due to the oscillation of light in resonant cavity, the bending loss of NW laser is about three times as large as that of PL. The N-fold amplification of bending loss of laser predicts a more sensitive approach to measure the perturbation of light induced by fairly small deformation or strain. Furthermore, the linear relationship between the polarization direction of laser emission and the bending angles may be utilized to modulate the polarization just in a simple way through bending NWs. These bending effects could be very helpful to further investigations about nanoscale lasers that include curved structures and may provide important information for the package of micro/nanoscale devices where strain and deformation are common. The bending effects on the lasing action of semiconductor NWs deserve further investigations and could contribute a lot to the constructions of nanoscale laser world.
9:00 AM - R6.14
SiC-based 1D Nanostructures
Maelig Ollivier 1 2 Laurence Latu-Romain 1 Mickael Martin 1 Arnaud Mantoux 3 Edwige Bano 2 Thierry Baron 1
1LTM-CNRS Grenoble France2IMEP-LAHC Grenoble France3SIMaP Grenoble France
Show AbstractIn recent years, elaboration of one-dimensional (1D) nano-objects has been intensely studied because of the great potential applications of these structures in many fields: nanoelectronics, nano-biotechnologyhellip; The remarkable electrical and physical properties of silicon carbide (SiC) material -such as high breakdown field, high band gap, high thermal conductivity and biocompatibility- combined with the high surface to volume ratio and quantum effects properties of 1D nano-objects make these nanostructures very interesting for specific applications such as bio-nano-sensors, nano-Field-Effect-Transistors (nano-FETs) or gas sensors for example.
Thanks to an original process based on the carburization of silicon nanowires, we are able to produce different SiC-based nanostructures: Si-SiC core-shell nanowires (Si-SiC NWs), SiC nanotubes (SiC NTs) and SiC nanowires (SiC NWs). This original process, which relies on controlling the outdiffusion of Si atoms through SiC, can be monitored by the temperature, the pressure and the time of carburization.
Firstly, Si NWs are obtained by a plasma etching of a (100) Si substrate. These Si NWs are controllable in size and diameter, depending on the etching conditions. Then these Si NWs are introduced into a hot-wall CVD furnace where the carburization occurs.
The main flow is composed by a mixture of hydrogen diluted into argon. The removal of native silicon oxide on Si NWs is processed directly inside the reactor thanks to the reductive properties of hydrogen. During the rising of temperature, pressure is kept constant at a level preventing the Si sublimation and methane, used as carbon precursor, is sent at 800°C until the plateau of carburization. At this instant, a ~2 nm thick, single crystalline, SiC layer, entirely covers the surface of Si NWs. Note that if the process is stopped here, Si-SiC NWs have been elaborated.
Depending on what kind of nanostructures will be elaborated the carburization parameters will change: a higher temperature (varying from 1000°C to 1200°C) and a higher carburization time (varying from 1 to 60 min) will enhance the outdiffusion of Si atoms, leading to SiC NTs, while a high pressure (varying from 0.01 to 750 Torr) will limit the outdiffusion and will favour Si-SiC NWs.
These SiC-based nanostructures are characterized morphologically and chemically by SEM, FIB-SEM and TEM microscopies, and also with micro-Raman spectroscopy.
Finally, with the combination of the controllable dimensions of Si NWs and the monitoring of Si outdiffusion during the carburization process, it is possible to obtain the desired nanostructures -Si-SiC NW, SiC NW or SiC NT-, and to control their dimensions and the 3C-SiC layer thickness in each case. These SiC-based nanostructures, thanks to the good crystalline quality of the 3C-SiC layer and its physical properties may become a very promising nano-object for gas detection, energy or medical storages as well as bio-nano-sensors.
9:00 AM - R6.15
Self Assembly Si Nanowires Confined Growth, Structural Investigations and Applications
Ludovic Dupre 1 T. Gorisse 1 2 A. Letrouit Lebranchu 4 A. Solanki 1 P. Gentile 1 N. Pauc 1 H. Renevier 5 D. Buttard 1 3
1CEA Grenoble France2CNRS Grenoble France3UJF Grenoble France4CEA Grenoble France5Grenoble-INP Grenoble France
Show AbstractThe future use of nanostructures such as silicon nanowires in solar cells or nanodevices will occur only if their growth is self-organized, with high density and of very good crystalline quality in order to ensure great sensitivity and electronic properties.
In this work we show that the use of self-organized nanoporous anodic aluminium oxide (AAO) and standard vapour-liquid-solid (VLS) silicon nanowire growth combined with standard microelectronics techniques enables the production of very high density nanowire arrays with interesting structural, optical and electrical properties.
We demonstrate the growth of silicon nanowire arrays presenting a remarkably flat overall surface. The density of nanowires, around 10^10 cm-2, and long range self-organized hexagonal geometry of the arrays can be compared to the one achieved with top-down techniques. Height, diameter and density of nanowires can easily be controlled by adjusting the structural parameters of the AAO template, thus enabling different geometries for various applications.
We show that this technique can be used to grow aligned semiconductor nanowire arrays on non preferential substrates. Silicon and Germanium nanowire arrays were grown on a Si(100) wafer therefore showing the efficiency of this technique to produce non epitaxial highly ordered nanostructures. Transmission electron microscopy and synchrotron X-ray diffraction analyses were used to characterize the crystallinity and structural quality of the grown nanowires.
We suggest a possible use of these structures as solar cells by producing arrays of P-i-N core-shell silicon nanowire junctions. The quality of the radial junction was explored by electrically characterizing the devices on single nanowires before extending the process on full scale sample. The high density arrays also act as a light trap which results in a strong decrease of the reflectivity of the sample which is a key point for the possible use of these structures as optical detectors.
9:00 AM - R6.16
Catalyst Contamination and Strain Investigations in Vapor-liquid-solid Grown Si and Ge Nanowires
Ludovic Dupre 1 T. Gorisse 1 5 Q. Benoit a la Guillaume 1 A. Letrouit Lebranchu 2 P. Gentile 1 H. Renevier 3 D. Buttard 1 4
1CEA Grenoble France2CEA Grenoble France3Grenoble-INP Grenoble France4UJF Grenoble France5CNRS Grenoble France
Show AbstractSemiconductor nanowires are now widely studied and integrated in new devices. Among the techniques available to produce such one dimensional semiconductor nanostructures, gold catalysed vapour-liquid-solid (VLS) growth by chemical vapour deposition (CVD) is probably the most reported one. However few is known on the behaviour of the catalyst during growth or on the resulting crystalline structure of the nanowires. In this work we present the growth of Silicon and Germanium nanowires using gold catalysed CVD-VLS and investigate their quality in term of catalyst contamination and mechanical strain. The measurements were carried out in the light of synchrotron x-ray radiation.
Silicon nanowires were first grown in free space. We present Multiwavelength Anomalous Diffraction experiments which reveal the presence of gold contamination in the silicon crystalline matrix. Several attempts to remove this contamination were undertaken based on the different techniques used in the literature. We show that gold contamination can be reduced but hardly totally removed.
Mechanical strain is an important tool to tailor the electronic properties of nanostructures. Using Grazing Incidence X-ray Diffraction we show that the crystalline matrix of CVD-VLS nanowires is slightly strained. Different geometries and their influence on this strain are investigated. We present the results on the confined growth of silicon nanowires in an anodic aluminium oxyde template which results in an increased strain compared to free space growth. The control of the strain of germanium nanowires is also demonstrated using a core shell geometry. When Ge nanowires are capped with silicon nitride, the induced strain can be adjusted by tuning the thickness of the shell.
9:00 AM - R6.17
Photoluminescence from Single Germanium VLS Nanowires
Quentin Benoit a la Guillaume 1 Vincent Calvo 1 Amit Solanki 1 Nicolas Pauc 1 Ludovic Dupre 1 Therese Gorisse 1 Denis Buttard 1 Pascal Gentile 1 Noel Magnea 1
1CEA Grenoble Grenoble France
Show AbstractGermanium micro and nanostructure are generating a growing interest due to the higher mobility in germanium and to the fact that a suitable doping level associated to tensile strain can lead to a pseudo-direct bandgap material as it has been recently demonstrated [1]. This property seems to be the most promising way to achieve goup IV CMOS compatible Laser whose working wavelength would lies within the telecommunication L band. In that perspective, core-shell nanowire structures can provide a way of shaping, doping and stressing this kind of device.
In this presentation, we will show the elaboration and characterization of doped and strained Germanium nanowire. We managed to grow Germanium using a catalysed VLS mechanism in a CVD chamber. We show photoluminescence emitted from single Germanium nanowires. Silicon nitride has been used to apply stress on the wires in order to modify the band structure. In situ phosphorous n-Doping and its influence on emission is also investigated. Raman and synchrotron X-ray diffraction characterization provide information about strain in the material.
9:00 AM - R6.19
Vertical Si Nanowire Electrode Array for Highly Sensitive Biomolecules Sensor
Ilsoo Kim 1 Hyung-suk Kim 1 Sanghun Han 1 Yong-beom Lim 1 Heon-Jin Choi 1
1Yonsei University Seoul Republic of Korea
Show AbstractNanoelectrodes have many advantages, such as high current density and high active area, for biological and chemical sensing. Especially, one dimensional nanostructures are promising for nanoelectrodes because of their unique shape that is suitable to the optical and the electrical detection of biomolecules as well as the fabrication of three dimensional (3D)-, vertical array. Regarding this, silicon nanowires (Si NWs) have further advantages as nanoelectrode array due to their highly complementary metal-oxide-semiconductor (CMOS)- and bio-compatibility.
Herein, we demonstrate highly sensitive vertical Si nanowire electrode array (VSNEA) for biomolecule sensing. Si NWs were first grown vertically on the Si substrate by bottom-up vapor-liquid-solid mechanism, and then VSNEA architecture was fabricated by CMOS compatible-, top-down semiconductor process. For the biomolecule sensing, Au tip that can be biologically functionalized was modified at the top of VSNEA. To verify the feasibility as the biological sensor, peptides having a fluorescent probe, carboxyfluorescein, were attached to VSNEA. Investigation by the bright field and the fluorescence microscopy confirmed that the peptides were selectively attached on the active Au tip of VSNEA.
The VSNEA were further characterized by cyclic voltammograms (CVs) measurement. The CVs of peptides decorated VSNEA show a steady-state electrochemical current behavior. Due to the critically small dimension and the vertically aligned nature of VSNEA, the current density was higher than that of Au film electrode of about 3000 times. Furthermore, VSNEA showed large current difference with and without peptides that was 9 times than that of the Au film electrodes. These results indicate that the VSENA is highly sensitive to detect peptides as compare to thin film electrodes. Therefore, VSNEA could be used as the divergent bio sensor platform in many applications.
9:00 AM - R6.21
Scanning Photocurrent Microscopy of Metallurgical Junctions Formed between Self-welded Silicon Nanowires
Mark Triplett 1 2 Dong Yu 1 M. Saif Islam 2
1University of California, Davis Davis USA2University of California, Davis Davis USA
Show AbstractDuring the epitaxial bottom up growth of nanowire (NW) arrays, occasional kinks in the growth direction can lead to intersecting NWs resulting in self-welded crystalline connections between NWs. However, the typical sonication, drop cast, and metallization fabrication process seems to lead exclusively to single NW devices without junctions, likely due to fracturing during sonication. By utilizing a process of growing NWs between vertically oriented and electrically isolated silicon (Si) electrodes, a bridged NW device can be created requiring no further post growth process steps and crystalline junctions between NWs can be maintained. This NW bridge architecture was employed to study the metallurgical junction between intersecting and consequently self-welded Si NWs. Scanning Photocurrent Microscopy (SPCM) was used to study the optoelectronic properties of these NW junctions as well as the characteristics of the NW bridge devices. SPCM measurements show a photocurrent response at the NW junction indicating local band bending at this location. A long photocurrent decay length away from the junction (up to 1.2 mu;m) was observed in the secondary NW channel indicating reduced surface recombination in the bridged NWs. The junction photocurrent is also affected by bias with an enhancement (suppression) of the magnitude with reverse (forward) bias. An asymmetry in the band bending profile at the NW-electrode contact locations was also observed as photocurrent spots with unequal magnitudes. As NW junctions may be present in high numbers in CVD grown Si NW arrays, these observations provide important information about the characteristics of devices created from these arrays.
9:00 AM - R6.23
VSS/VLS Growth of Silicon Nanowires and Nanotubes Using CoPd or Pd Catalysts
Ria Esterina 1 Xinming Liu 2 Caroline A. Ross 1 3 Adekunle Olusola Adeyeye 1 2 Wee Kiong Choi 1 2
1National University of Singapore Singapore Singapore2National University of Singapore Singapore Singapore3Massachusetts Institute of Technology Cambridge USA
Show AbstractDewetting characteristics of Co, CoPd, and Pd thin films with thicknesses of 19 nm and 57 nm on oxidized silicon and alumina substrates were examined in order to obtain suitable metal catalysts for the synthesis of silicon oxide nanowires and nanotubes. Influences of ambient atmosphere (nitrogen or forming gas), annealing temperature (650 - 1050°C), catalyst and choice of substrate on the structural properties of the silicon oxide nanostructures were studied. For samples with larger catalyst particles and thinner oxide layers annealed in forming gas ambient, formation of craters in the oxide layer and pits in the Si substrate was observed. Subsequent growth of silica nanowires and nanotubes was associated with the craters and pits. The nanowires and nanotubes grow via a vapor-solid-solid (VSS) or vapor-liquid-solid (VLS) mechanism without an external silicon source and the catalyst particles are expected to be partly solid during the growth process. The original Si atoms that form the nanowires or nanotubes were oxidized in situ during growth so the resulting nanowires and nanotubes consisted of silicon oxide, with diameter ranging between tens of nanometers to about 200 nm and length reaching several microns depending on the annealing parameters. Some of the catalysts in the nanotubes can split into multiple catalysts, forming branches from the primary nanotube.
9:00 AM - R6.26
Photon Energy Up-conversion in Highly Doped InP Nanowires
Kilian Mergenthaler 1 Jesper Wallentin 1 Lars Samuelson 1 Magnus T. Borgstrom 1 Mats-Erik Pistol 1
1Lund University Lund Sweden
Show AbstractThe common photoluminescence technique for characterization of semiconductor materials uses laser photon energies far above the expected luminescence energies. Here we report on measurements on highly doped InP nanowires with strong luminescence above the exciting laser energy. The InP nanowires used for the study were doped with hydrogen sulfide and exhibit sulfur concentrations of up to 1.35 %. The highly doped nanowires show pure wurtzite crystal structure. It was recently predicted that wurtzite InP should have an unusual conduction band structure with a second minimum (Γ8 ) at the gamma point only 0.24 eV above the Γ7 conduction band. This band structure could be important for both optical and electrical characteristics and is a characteristic feature of wurtzite InP which is not present in zincblende InP.
The NWs were investigated with scanning electron microscopy, transmission electron microscopy including energy dispersive x-ray spectroscopy, single NW photoluminescence, as well as with photoluminescence excitation spectroscopy (PLE).
Using micro-photoluminescence and photoluminescence excitation spectroscopy, we find a strong blueshift of the emission and absorption, well into the visible range, as the carriers fill the conduction band. The energy shift can be used to estimate the charge carrier concentration in the NWs in a contact-less manner and the most highly doped wires show evidence for the predicted second conduction band minimum at the gamma point, about 0.23 eV above the Γ7 conduction band minimum.
In the classical state filling model absorption below the Fermi energy is not possible, but if we use excitation laser energies above the band gap but below the Fermi energy we observe luminescence energetically on both sides of the laser. The photon energy up-conversion allows photoluminescence excitation spectroscopy for detection energies above the laser energies: to measure detection energy dependent differences in absorption properties close to the band gap and below.
9:00 AM - R6.27
Characteristics of Strain-induced InxGa1minus;xAs Nanowires Grown on Si(111) Substrates
Jae Cheol Shin 1 2 Kyoung Jin Choi 3 Won Jun Choi 4 Xiuling Xi 1
1University of Illinois Urbana USA2Korea Photonics Technology and Institute Gwangju Republic of Korea3Ulsan National Institute of Science and Technology Ulsan Republic of Korea4Korea Institute of Science and Technology Seoul Republic of Korea
Show AbstractOne-dimensional (1-D) heteroepitaxy can accommodate mismatch strain through lateral strain relaxation, allowing NWs epitaxially grown on substrates with significantly higher lattice mismatch compared with thin film counterpart. Epitaxial InxGa1-xAs NWs can be synthesized using a variety of methods such as selective-area epitaxy (SAE) or vapor-liquid-solid (VLS). However, the former is a time-consuming process due to the preparation of the e-beam lithography pattern; and the latter has the tendency to incorporate metal in the NWs which could induce deep levels in the bandgap and degrade the device performance. In this talk, we demonstrate that large strain-energy arising from lattice mis-match allows one-dimensional heteroepitaxial growth of InxGa1minus;xAs on silicon substrates without any catalyst or pattern assistance. We show that in contrast to nanowires (NWs) grown by metal-catalyzed vaporminus;liquidminus;solid mechanism, strain-induced InxGa1minus;xAs NWs have several unique morphological features including no tapering, slight bending, and composition-dependent NW height saturation. Although small fluctuation exists, no systematic composition variations are observed over the entire InxGa1minus;xAs NW length within the resolution of the energy-dispersive X-ray spectroscopy analysis.
9:00 AM - R6.28
Single Crystalline Bi2Te3 Nanowires with High Carrier Mobility by Electrodeposition
Jiwon Kim 1 Seil Kim 2 Yong-ho Choa 2 Nosang V. Myung 1
1University of California, Riverside Riverside USA2Hanyang University Ansan Republic of Korea
Show AbstractTopological insulators (TIs), a newly discovered electronic phase, are insulating materials that conduct electricity on the surface via special surface electronic state. The surface states of the TIs are topologically protected, which means that they are unaffected by disorder or chemical passivasion, leading to promising potential applications in spintronics and quantum computing. Recently, this nontrivial behavior was experimentally observed in various chalcogenide materials (e.g., Bi2Te3, Bi2Se3, and Sb2Te3). Various methods have been utilized these chalcogenides nanostructures including vapor-liquid-solid (VLS) method, metal-catalysed chemical vapor deposition (CVD), molecular beam epitaxy (MBE), and solvothermal synthesis technique. Although these methods are able to synthesize highly crystalline nanostructure, these methods lack the ability to readily control size, composition and dopant types and level. Template-directed electrodeposition technique is high-yield, cost-effective and versatile process for materials synthesis that operate near room temperature, requires low energy consumption, and is capable of handling complex geometries at a variety of length scales. Additionally, it is able to precious tune the diameter and length by adjusting the pore size of template and electrodeposition rate, respectively.
In our work, template directed electrodeposition is utilized to synthesize Bi2Te3 nanowires with controlled diameter (20 nm to 250 nm), dopant levels, and crystallinity. Currently, electrodeposited single crystalline Bi2Te3 nanowires (diameter of 250nm) show excellent carrier mobility of 9800cm2V-1s-1 and low carrier concentration of 1.76x1016 cm-3 with highly oriented crystal structure to (110) direction. The magnetoresistance of the synthesized Bi2Te3 nanowires indicates that the dependence of the perpendicular magnetoresistance up to B=±14T is parabolic at 5K. Based on these observations, materials properties of synthesized Bi2Te3 nanowires with reduced diameters down to 20nm are currently investigated and correlated to their electrical and magnetoelectric properties to verify the signature of the surface states in the TI such as Aharonov-Bohm (AB) oscillation and Shubnikov-de Haas (SdH) oscillations by using the electron transport measurement.
9:00 AM - R6.29
Spectroscopic Measurement of Self-assembled ErSb Nanowires
Justin D. Watts 1 Hong Lu 2 Sascha Preu 3 1 Daniel Ouellette 1 Ben Zaks 1 S. James Allen 1 Arthur Gossard 2 Mark Sherwin 1
1University of California, Santa Barbara Santa Barbara USA2University of California, Santa Barbara Santa Barbara USA3Univ. of Erlangen-Nuremberg Erlangen Germany
Show AbstractWhen Erbium is introduced during the molecular beam epitaxial growth of GaSb, self-assembled ErSb nanostructures are formed. The orientation and shape of the nanostructures is dependent on the concentration of Erbium added during this process. For the lowest concentrations, when only 1-3% of the Gallium is replaced with Erbium, ErSb coalesces into small islands surrounded by a GaSb matrix. For higher concentrations containing 7-10% Er, the ErSb nanostructures preferentially assemble into wires along the (001) axis which is parallel to the growth direction of the sample. These wires become more densely packed within the GaSb matrix as the Er concentration increases. When the sample contains 10% Er, the wires have a spacing of 2-5 nm and a width of about 5 nm. When 15% or more of the Ga is replaced by Er, the nanowires no longer orient themselves along the (001) axis but rather orient themselves along the (1-10) axis which is in the growth plane. The widths of the nanowires formed at these higher concentrations remains at about 5 nm with approximately the same spacing of 2-5 nm.
The self-assembled ErSb nanowires appear very similar to a traditional wire-grid polarizer, in which a closely spaced grid of conducting wires with an insulating gap between the wires is used to attenuate incident radiation polarized parallel to the wires. By measuring the polarization dependent transmission through a 10% Er and 20% Er sample in the terahertz and infrared region of the spectrum, we observe a polarizing behavior and can thus determine the orientation as well as the polarization efficiency of the nanowires. This polarizing behavior demonstrates the ErSb nanostructures are acting as a conduction path within an insulating matrix of GaSb. The transmission is measured at frequencies ranging from 100 GHz up to the band edge of the GaSb matrix, which is observed at 150 THz. Measurements were taken using a number of different spectrometers including a THz time domain spectrometer, a Fourier Transform Infrared (FTIR) spectrometer and a Vector Network Analyzer. These measurements allow us to model the response of our samples and extract interesting physical parameters such as the carrier concentration and the scattering rate in the nanowires.
9:00 AM - R6.32
Magnetization Reversal Dynamics in Arrays of Cobalt Nanowire and Nanotubular Structures
Sachin Pathak 1 Manish Sharma 1
1Indian Institute of Technology Delhi New Delhi India
Show AbstractOrdered arrays of magnetic nanostructure such as nanowires and nanotubules have enormous potential in scientific applications including in magnetic storage, logic devices, field sensors and microwave devices. Measurements for understanding the magnetization reversal, domain wall movements and spin wave dynamics in magnetic nanowires and nanotubular have emerged as important problems from the point of view of both fundamental science and for the above applications.
Array of Co nanowires (NWs) and nanotubes (NTs) with same length and diameter have been successfully deposited by electrodeposition method using a three-electrode electrochemical cell into the nanopore of anodic aluminium oxide (AAO) templates at the room temperature. The growth of these two nanostructures has been well controlled by deposition parameters. Following the growth and deposition in nanopore templates, selective etchants like NaOH are used to dissolve the templates in order to separate out the nanostructures. By applying a magnetic field during dissolution, the nanostructures can be arranged in regular oriented arrays. Such magnetically and physically ordered templates could serve as tracks for patterned magnetic media and also as high-frequency microwave devices. We discuss the use of such arrays for both these applications.
The morphology of the samples has been investigated by means of scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The fabricated NWs and NTs had a diameter (~200nm) equal to that of the pores of the template, a length of ~12 mu;m, and NTs wall thickness of ~50 nm. The XRD measurements illustrate patterns for both NWs arrays presenting hexagonally close pack (hcp) with strongly textured along the [101] direction, while Co NTs show poor crystalline with no strong texture.
Magnetic characterization done using SQUID measurements will also be presented. These show the influence of morphology on the magnetic properties of the arrays. It has been found that, due to the close packing, the nanowires have a very different magnetic switching behaviour as compared to nanotubes and this is exhibited in the SQUID measurements. The spontaneous magnetization of the Co NWs and NTs were found to be parallel to the nanostructure axis.
Finite-element micromagnetic modelling was used to model the nanostructures (NWs and NTs) in single and array form and the static M-H loops reproduced. The coercivity was found to increases with aspect ratio of the above discussed nanostructure. Micromagnetic simulations qualitatively reproduce the observed the detailed insight into the reversal mechanisms. Magnetization reversal occurs by curling mode in Co NTs while nucleation mode in Co NWs. Magnetostatic interactions and magnetocrystalline anisotropy is found plays a crucial role in distinct magnetization reversal dynamics of Co NWs and NTs due to their distinct geometry.
9:00 AM - R6.33
Investigation of Au-assisted VLS Growth of Gallium Nitride Nanowires Using DC Reactive Magnetron Sputtering
Nikolaus Andrew Jewell 1 2 3 Ray LaPierre 1 2 3
1McMaster University Hamilton Canada2McMaster University Hamilton Canada3McMaster University Hamilton Canada
Show AbstractGallium nitride nanowires possess wide, direct bandgaps, and excellent electron transport properties. These properties make these high-performance materials attractive candidates for next-generation light-emitting diodes, detectors, and display technologies. However, the conventional fabrication procedures for gallium nitride nanostructures involve serial, research-oriented technologies, such as molecular beam epitaxy, or expensive industry systems, such as metalorganic chemical vapour deposition. The emergence of economic, large-area alternative fabrication procedures for these materials would greatly increase their widespread commercial adoption. Here, we report the growth of GaN nanostructures using dc magnetron sputtering.
Initial growths of gallium nitride nanowires using sputtering at temperatures of 700-750°C resulted in “dendrite-like” wire structures which had substantial tungsten contamination. Both this debasement and the limited temperature window for growing nanostructures of the deposition system served as the impetus to engineer a new furnace that mitigated substrate contamination and allowed growths up to 1000°C within the customized sputtering system. (111) silicon wafers were diced into 16 mm2 squares and then 1 to 4 nm of gold was deposited using evaporation. This gold layer was utilized to introduce catalyst-assisted vapor-liquid-solid (VLS) nanowire growth. Following a HF dip to remove surface oxide, the sample was loaded into the high-temperature furnace where gallium nitride was deposited via a reactive nitrogen DC magnetron sputtering system, using a gallium target. The main factors investigated during growth were the effects of temperature, presence of a metal catalyst, and gallium pre-deposition on the resulting gallium nitride nanowire densities and quality. The design of the furnace permitted four experimental regions to be examined during each growth: a negative control region that lacked both a metal catalyst and exposure to the sputtering gallium flux; a region that lacked any metal catalyst, but was exposed to sputtering; a catalyst-covered region shielded from incident gallium atoms; and a catalyst region subjected to the sputtered gallium. Surface morphology and composition were analyzed using both scanning electron microscopy and transmission electron microscopy.
The newly-constructed furnace design was able to significantly reduce tungsten contamination that had been present during previous growths to below the detectable levels of energy-dispersive x-ray spectroscopy. Gallium nitride nanowires, with diameters between 20 and 30 nm and lengths of 100s of nm, were found only in the gold-covered region of the substrate exposed to an incident gallium flux. Temperature studies conducted between 700 and 900°C showed that improved nanowire morphology occurred at higher temperatures, albeit in sparser densities. Preliminary TEM diffraction images indicated that the nanowires were single crystalline.
9:00 AM - R6.36
Luminescence Properties of Mg-doped GaAs Nanowires Grown on GaAs and Si Substrates
Bruno P. Falcao 1 Joaquim P. Leitao 1 Maria R. Correia 1 Juan C. Gonzalez 2 Francisco M. Morales 3 Jose M. Manuel 3 R. Garcia 3 Anders Gustafsson 4 Marcus V. B. Moreira 2 Alfredo G. de Oliveira 2
1Universidade de Aveiro Aveiro Portugal2Universidade Federal de Minas Gerais Belo Horizonte Brazil3F. Ciencias, Universidad de Camp;#225;diz Puerto Real Spain4Lund University Lund Sweden
Show AbstractSemiconductor nanowires are low-dimensional structures that have been attracting a great deal of attention in the last few years due to their promising application in a variety of research fields. The integration of GaAs nanowires in solar cells is of special interest as it brings some potential benefits over traditional wafer or thin film based devices, related mainly to cost, stronger light absorption, and charge separation mechanisms. However, prior to the full integration of these nanostructures in the photovoltaic industry, several fundamental physical questions that are currently under intense discussion in the scientific community must be addressed. In this work, we investigate how the simultaneous coexistence of two crystalline phases along the GaAs nanowires growth axis affects their optical properties. The nanowires were intentionally doped with Mg and grown with large diameters by molecular beam epitaxy on both GaAs and Si substrates. From a structural point of view, preliminary transmission electron microscopy results suggests that the Si substrate promotes an increase in the density of stacking faults. The main crystal structure is wurtzite with a few inserts of zinc-blende. By carrying out temperature and excitation-intensity dependences of the photoluminescence and further investigating the cathodoluminescence at low temperature, we found a structured emission in the range 1.40 - 1.52 eV. Meaningful differences were observed between the spectra from the GaAs and Si substrates. The radiative transitions are discussed with relevance to their possible relation with the zinc-blende and wurtzite phases within the nanowires. These results support that an alternation of the zinc-blende and wurtzite phases along the growth axis results in a type II band alignment that affects the diffusion of charge carriers and the radiative recombination processes. These give rise to the emission below the 1.52 eV band gap of GaAs. As an indication of the short diffusion of carriers, segments of extensions below 100 nm was resolved. Due to the presence of very short segments, with lengths of the order of the Bohr radius (14 nm), the quantum confinements effects cannot be neglected. This leads to a number of emission peaks below the band gap energy, which can be observed as a broad emission peak from an ensemble of nanowires.
9:00 AM - R6.37
Ge/Si Core/Shell Nanowire Polarizers for Wearable Electronics Application
Soonshin Kwon 1 Zhelin Sun 2 Deli Wang 2 1 Jie Xiang 2 1
1UC San Diego San Diego USA2UC San Diego San Diego USA
Show AbstractNowadays the mainstream of technology development is more focused on human friendly platform such as smart clothing that reacts to person on dressed, wearable sensor-laden wristbands, or glasses with data display. The new trends require diversity of device fabrication platform not just on traditional silicon substrates but on transparent or flexible counterparts. Wire grid polarizer (WGP) is fundamental unit on display and it is used in various imaging applications. [1] High performance linearly polarizer requires state of art lithography techniques to produce pitch size of several tens or hundreds of nanometers with high quality wire grids. This complexity grows even larger on soft material substrate such as plastic sheet or PDMS. The previous reported WGP on a soft material substrates show poor performance with low extinction ratio [2-4]. Herein, we demonstrate semiconductor nanowire linear polarizer on either PET or PDMS prepared by a simple contact printing method with contrast ratio more than 100 and good TE transmission. Gas phase CVD growth of Ge/Si core/shell nanowires with diameter of 20 ~ 40 nm were transferred directly from the growth substrate to PET sheet. Interestingly, our study verifies high quality of NWs array with misalignment angle less than 5 degree. Nanowire polarizer on thin PDMS sheet is able to further adhere on various types of curved substrates without any dramatic performance degradation. Such feature enables future applications on wearable display on clothing, tattoo or contact lenses. The effect of dielectric confinement on the visible-wavelength performance of nanowire polarizer arrays will also be discussed using nanowires of various diameters.
[1] Yu, X.-J. et.al. Appl. Opt. 2003, 42 (31), 6335-6341.
[2] Paul, K. E. et.al. Advanced Functional Materials 2003, 13 (4), 259-263.
[3] Chin-Ching, H. et al. 2010 5th IEEE International Conference on, 20-23 Jan. 2010; 2010; pp 893-897.
[4] Park, K. et.al. Nanoscale Research Letters 2012, 7 (1), 1-5
9:00 AM - R6.38
Effect of Al and In Doping on the Bound Exciton Transitions, Phonon Replicas and Two Electron Satellites of ZnO Nanowires
Senthil Kumar Eswaran 1 Faezeh Mohammadbeigi 1 Ian Anderson 1 Zhiwi Deng 1 David Huang 1 Simon P Watkins 1
1Simon Fraser University Burnaby Canada
Show AbstractDoping with group III elements is well known to produce n-type conductivity in ZnO. Low temperature photoluminescence (PL) emission lines associated with excitons bound to donor impurities (Al, Ga, In and H) were previously reported in bulk ZnO crystals [1]. However, reports of controlled doping of these impurities over a wide range of dopant concentration are scarce. In this study we demonstrate the controlled doping of Al and In using metalorganic group III donors in ZnO nanowires (NWs) by means of high resolution low temperature PL spectroscopy.
Undoped and doped (Al and In) ZnO nanowires were grown using metalorganic vapor phase epitaxy (MOVPE) at 605 oC on c-sapphire substrates over a wide range of dopant concentration. 4.2 K PL spectra of the undoped NWs exhibit sharp donor bound exciton (D0X) transitions I8 and I9, associated with excitons bound to residual neutral Ga and In donors respectively, at 3359.9 meV and 3356.8 meV, with linewidths as low as 0.18 meV. Lightly In-doped NWs show an increase in the PL intensity of the I9 transitions. However, heavily In doped NWs show broadening of the I9 transition and the overall PL intensity approaches zero. Al doping leads to another sharp transition I6 that corresponds to excitons bound to neutral Al donors. Transitions associated with excitons bound to ionized donors are observed at 3367.6 meV, 3371.8 meV and 3373.1 meV for In (I2), Ga (I1) and Al (I0) respectively [2].
On the low energy side of the PL spectra we have observed two electron satellites (TES) of the Ga, Al and In donors at 2p (3317.8 meV) & 2s (3319.2meV), 2p (3321.3 meV) & 2s (3322.33 meV) and 2p (3307.5 meV) & 2s (3312.1 meV) respectively. This allowed us to calculate the 1s and 2p energy separation (E1s-E2p) of Al, Ga and In as 39.6±0.2 meV, 42.1±0.2 meV and 49.3±0.5 meV. First (1LO) and second (2LO) phonon replicas of the Al, Ga and In D0X transitions are observed at 3288.7 & 3216.7 meV, 3287.6 & 3215.3 meV and 3212.6 & 3284.6 meV. Hence doping of Al and In allowed us to assign the Al, Ga, and In related TES and phonon replicas unambiguously. A transition associated with excitons bound to structural defects (Y-line) is observed at 3332.6 meV. TES and phonon replicas of the Y-line are observed at 3271 meV and 3261 meV.
References
1. Meyer et al. Phys. Stat. Sol. (b) 241, 231.
2. E. Senthil Kumar et al. (submitted)
9:00 AM - R6.39
Influence of Catalyst Material on Spontaneous Diameter Oscillations in InP Nanowire Growth
Douglas Soares Oliveira 1 Luiz Henrique Galvamp;#227;o Tizei 2 Monica Alonso Cotta 1 Daniel Ugarte 1
1Universidade Estadual de Campinas Campinas Brazil2Universitamp;#233; Paris-Sud Orsay France
Show AbstractSemiconductor nanowires (NWs) are currently under intense investigation, both from a basic science point of view - to understand the dynamics of formation of these nanostructures - to a technological approach,due to their possible applications. However, these two lines of research share a common basis since the understanding of nanowire synthesis generally provides new features and applications. As an example, the equilibrium position of the nanoparticle (NP) during vapor-liquid-solid growth (VLS) has been suggested to determine the crystallographic structure of the nanowire as well as defect formation.
We have proposed that, for InP nanowire growth under high group III flows, there is a competition between different incorporation pathways of In atoms. This process may lead to a deformation on the triple-phase-line [Chiaramonte, T.; Tizei, L. H. G.; Ugarte, D.; Cotta, M. A. Nano Letters 2011, 11, 1934-1940], and eventually to mechanical instabilities of the nanoparticle position on top of the nanowire. Crystallographic phase changes may occur in this case, as well as sidewall wetting by the NP material.
We report here spontaneous diameter oscillations formed along InP nanowires grown with Au nanoparticles. The spatial period of these oscillations (~µm) varies inversely with the precursor flow; the dominant crystallographic phase changes from wurtzite to zincblende from the thin to the wide regions along the nanowire. We attribute this behavior to mechanical instabilities created by forces acting on the NP during nanowire growth, which depend on the particular precursor and temperature conditions used.
The mechanical stability of the nanoparticle on the top of the nanowire depends on the surface energies involved in the problem. Thus changing the metal catalyst from Au to Ag, which has a lower surface energy, should alter the equilibrium conditions of the growth. Indeed, we observe different contact angles in this case. However, nanowire diameter oscillations are still present under different growth conditions than for the Au catalyst, suggesting these are general phenomena which occur under far from equilibrium conditions in VLS growth.
9:00 AM - R6.40
Flux Engineering for Height Dependent Morphological Control of Branched Nanowires
Allan Leo Beaudry 1 Joshua M. LaForge 1 Ryan T. Tucker 1 Peng Li 2 Michael T. Taschuk 1 Michael J. Brett 1 2
1University of Alberta Edmonton Canada2NRC National Institute for Nanotechnology Edmonton Canada
Show AbstractBranched nanowires, or nanotrees, enhance achievable structural complexity in nanoscale three-dimensional architectures.1 Recently, glancing angle deposition (GLAD) 2 has been used to direct the vapor-liquid-solid (VLS) 3 growth of single crystal indium tin oxide (ITO) nanotrees, improving control over trunk diameter, trunk density and number of branches along the trunk.4,5 This new technique, named VLS-GLAD, uses an obliquely incident collimated vapor flux to exploit ballistic shadowing of the flux, enabling improved control over height dependent diameter and branching in ITO nanotrees.4 Self-shadowing of the flux has recently been used to induce oscillations in branch diameter with a period dependent on the rate of substrate rotation.5 Complex modulation of the amount of flux supplied to the branch through dynamic substrate rotation rates and shuttering of the flux has enabled improved control over the branch rippling mechanism. The branch rippling mechanism enables time resolved studies of the VLS growth mechanism and provides additional insight into ballistic shadowing and adatom transport during GLAD. Crystal properties have been investigated by selected area electron diffraction, TEM, and XRD pole figures.6 Current experimental results regarding advanced control over branch diameter and height dependent morphology will be presented.
1 D. Wang, D and C. Lieber, Nature Materials 2 (2003) 355-356.
2 M. Brett and M. Hawkeye, Science 319 (2008) 1192-1193.
3 R. Wagner and W. Ellis, Applied Physics Letters 4 (1964) 89-90.
4A.L. Beaudry, et al. Nanotechnology 23 (2012) 105608.
5 R.T. Tucker, et al. Applied Physics Letters In Press.
6 A.L. Beaudry, et al. Crystal Growth and Design Submitted.
9:00 AM - R6.41
Gallium Phosphide Nanowires for Solar Energy Conversion
Wen Wen 1 Stephen Maldonado 1
1University of Michigan Ann Arbor USA
Show AbstractGallium phosphide with a bandgap of 2.26 eV and good carrier mobility can be potentially utilized for solar energy conversion. The relatively short carrier diffusion length as compared to the light absorption depth greatly limits the efficient photon to electron conversion of this material. One strategy to overcome this shortage is to decouple the absorption and collection direction through high aspect ratio nanowire architecture. In this work, GaP nanowires (NWs) were prepared by vapor-liquid-solid (VLS) chemical vapor deposition (CVD) and the photoelectrochemical properties of as-prepared nanowires were studied as compared to planar wafers. Two major factors, morphology and doping density, were studied in terms of optimizing the overall photoresponse. By controlling the distribution of catalysts used for VLS growth, different diameters of GaP NWs were obtained and characterized by scanning electron microscopy (SEM). The carrier separation efficiency was reported to be closely related to doping density in the material. To further enhance the photoresponse of GaP NWs, various dopants were introduced into NWs by a variety of methods. The doped NWs were characterized by raman spectroscopy, x-ray diffraction (XRD), x-ray photon spectroscopy (XPS), SEM as well as transmission electron microscopy (TEM). The photoelectrochemical properties of doped GaP NWs were studied in regenerative electrochemical cell and the results will be discussed in context of our previous efforts in NWs solar cell.
9:00 AM - R6.42
Engineered Mechanical and Electrical Properties of Epitaxial Doped Silicon Nanowires for Nanodevices Application
Daniel Arinzechukwu Obi 1 Riad Nechache 1 2 Catalin Narnagea 1 Federico Rosei 1 3
1EMT-INRS, University of Quebec Varennes Canada2University of Rome Tor Vergata Via della Ricerca Sceintifica 1, 00133 Rome Italy3McGill University 801 Sherbrooke Street West H3A 2K6 Montramp;#233;al Canada
Show AbstractSilicon nanowires (NWs) may possibly offer an alternative route for the fabrication of “end-of-the-roadmap” transistor technologies that may provide the paradigm shift in microchip design that will extend Moore&’s law. The feasibility of the above and further functionalization of Si NWs can be explored through gaining control over their electronic and photonic properties by optimization of n- & p-type doping concentration, crystal orientation, modification of electronic structure and size reduction using pulsed laser deposition technique. Understanding Si NWs deformability and strength are important to their applications and reliability in emerging nanodevices like nanosensors, nanoelectronics and nanostructured solar cells. Recently, we synthesized epitaxial intrinsic Si-NWs on n-doped Si (111) substrate by PLD and studied the elastic and piezoresistive properties of both vertically aligned and buckled individual NWs. We obtained a wide range of values in Young&’s Modulus for the Nanowires which are shape independent and large piezoresistance coefficient in pre-strained NWs. The elastic properties of NWs could be possibly engineered by changing the surface stress of the NWs lateral surface apart from altering the diameters. Engineering of the PLD grown NWs emanate from our observation of how surface stress and equilibrium strain affect the Young&’s Modulus. The significance of this work is making it feasible to synthesize appropriate engineered Young&’s Modulus of Semiconductor NWs suitable for nanodevice applications. Finding a trade-off between engineered mechanical properties and electrical properties will be the focus of the presentation [1].
9:00 AM - R6.43
Optical Properties of GaAs1-xSbx Nanowires Grown by MBE
Esther Alarcon Llado 1 Sonia Conesa Boj 1 Yannik Fontana 1 Anna Fontcuberta Morral 1 Philippe Caroff 2
1Ecole Polytechnique Federale de Lausanne Lausanne Switzerland2Institut damp;#8217;Electronique, de Microamp;#233;lectronique et de Nanotechnologie Villeneuve d'Ascq Falkland Islands (Malvinas)
Show AbstractAntimonide nanowires are currently receiving a lot of attention due to their promises for optoelectronic, nanoelectronic and quantum physics applications [1-3]. Among many attractive properties, InAs1-xSbx and GaAs1-xSbx alloys present high electron and hole mobilities, bandgap tuneability over a large range of the near-infrared spectrum, and original type I or II band-alignments when grown as heterostructures with other III-Vs [4]. Additionally, the reduced dimensions provided by the nanoscale design enables the combination of lattice-mismatched materials without strain thanks to efficient relaxation at the free borders.
Here we study ternary antimonide nanowires, which have been self-catalytically grown on Si(111) substrate by molecular beam epitaxy (MBE). Their optical properties have been investigated by using a low temperature micro-Raman and photoluminescence spectroscopy. We find that, as expected, an increase of Sb fraction x in an axial GaAs1-xSbx system yields to a shift of the peak emission towards lower energy. The crystal structure and composition have been assessed by Raman spectroscopy. The results are compared to measurements realized with high-resolution transmission electron microscopy and energy dispersive X-ray spectroscopy and related to the growth mechanisms. This study gives a clear picture of the impact of the structure and chemical composition on the optical properties.
[1] H. Nilsson et al., J. Sel. Top. Quant. Elec. 17, 907-914 (2011)
[2] C. Liu et al., Engineering 2, 617-624 (2010)
[3] V. Mourik, K. Zuo, S. M. Frolov, S. R. Plissard, E. P. A. M. Bakkers, L. P. Kouwenhven, Science 336, 1003 (2012)
[4] A. Aardvark et al., Prog. Crystal Growth and Charact. 35, 207-241 (1997)
9:00 AM - R6.44
High Sensitivity Gas Detection Using InSb Nanowire Devices
Wei Wang 1 3 ShiruI Guo 2 Miroslav Penchev 3 Mihrimah Ozkan 1 3 Cengiz S. Ozkan 1 4
1University of California, Riverside Riverside USA2University of California, Riverside Riverside USA3University of California, Riverside Riverside USA4University of California, Riverside Riverside USA
Show AbstractIn this work, we demonstrate the detection of NO2 using transistors based on single crystalline n-type indium antimonide nanowires devices. Single crystalline (InSb) nanowires are synthesized by ambient pressure chemical vapor deposition (APCVD) technique, using Au particles as catalyst, via a vapor liquid solid mechanism. Structural properties of the as-grown InSb nanowires were investigated by AFM, SEM and TEM analysis. Nanowire Field Effect Transistors (NWFETs) were fabricated in back-gate configuration using SiO2 as gate insulator. The diameter of InSb nanowires used in the fabricated NWFETs varied from 30-60 nm. The NWFETs show a decrease in conductance upon exposure to NO2 which is possible due to the charge transfer from the InSb NW surface to the surface absorbed NO2 molecules. All experimental results suggest InSb NW device as a promising candidate in sensing applications.
9:00 AM - R6.46
Hybrid ZnO Nanowire Platform for Synergistic Opto-electronic Detection of p-nitrophenol Vapor
Anurag Gupta 1 Bruce Kim 1 Eugene Edwards 2 Christina Brantley 2 Paul Ruffin 2
1The University of Alabama Tuscaloosa USA2RDECOM/AMRDEC Huntsville USA
Show AbstractUltra-trace detection of hazardous vapors using nanostructures is a rapidly emerging field. The high surface-to-volume ratio of these nanostructures, in conjunction with excellent optical and electrical characteristics, provides an implicit advantage for developing highly sensitive detection platforms.
Zinc oxide (ZnO) nanowires have attracted tremendous attention from the research community due to their superior optical and electrical properties concomitant with cost-effective synthesis techniques. Therefore, in this work we report a ZnO nanowire based hybrid platform that has potential for sensitive and selective p-nitrophenol detection under ambient conditions. P-nitrophenol is chosen as analyte of interest due to its structural similarity with complex nitroaromatics used in IEDs (improvised explosive devices). Zinc oxide nanowires were synthesized on insulating sapphire substrates and appropriately characterized. SEM, TEM and X-ray diffraction studies were used to establish morphology and crystal structures. Subsequently, the surface of the zinc oxide nanowire arrays was functionalized with an optically active compound, 1-pyrenebutyric acid, which exhibits significant quenching in the presence of p-nitrophenol vapors. Surface structure and local bonding were confirmed using XPS and Fourier-transform infrared spectroscopy. Thereafter, photoluminescence studies were conducted to investigate the optical response of functionalized zinc oxide nanowires to p-nitrophenol vapors. It was observed that ca. 18% fluorescence of the heterostructure gets quenched in the presence of trace amounts of p-nitrophenol vapors under ambient conditions. We believe that optimized surface coverage could enhance the sensitivity of the hybrid structure manifold. At the fundamental level, fluorescence quenching is an electron transfer process; therefore functionalized zinc oxide nanowires serve as an excellent backbone for modulating carrier concentration. This was independently validated through measurement of the electrical characteristics of a zinc oxide nano-heterostructure device on an Agilent® semiconductor parameter analyzer.
Thus, the synergistic utilization of optical and electronic properties of zinc oxide nanowires could provide a novel methodology for developing sensitive and selective hazardous vapor detection platforms. Furthermore, it could provide a unique fingerprinting technique for detecting multiple analytes based on their surface chemistry, while mitigating false positives under ambient conditions.
9:00 AM - R6.47
Strain Effects on the Photo Absorption of Silicon Nanowires: Atomistic Study
Daryoush Shiri 1 Golam Md. Rabbani 2 Jianqing Qi 2 M. P. (Anant) Anantram 2
1University of Waterloo Waterloo Canada2University of Washington Seattle USA
Show AbstractRESULTS: Possibility of achieving population inversion in silicon nanowires (SiNW) using axial strain is proposed [1]. Direct bandgap and its tunability with strain are promising SiNW application in nano-lasers and solar cells. Using Density Functional Theory (DFT) and Tight Binding (TB) methods the photo absorption spectrum of 1nm-3nm [110] and [100] SiNWs are calculated and the effect of strain is investigated. It is observed that for comparable values of bandgap (Eg=1.7eV) the absorption coefficient in a 1.7nm SiNW is α= 11000 1/cm which is larger than that of bulk silicon with α=2000 1/cm. In [110] SiNWs the photons which are polarized along the length of the SiNW (z) are absorbed more strongly than transverse (x, y) polarizations. On the other hand in [100] SiNWs the absorption values for x and y polarizations are equal, and significantly larger than that of (z) polarization. This arises from even (odd) parity of optical matrix element in [110] ([100]) SiNWs for z polarization.
Further, compressive strain causes valence sub band exchange which leads to the change of optical matrix element. This leads to a large change in the absorption under compression. Absorption coefficients of a 1.7nm [110] SiNW at -2%, 0% and +2% strain values are α= 1000 1/cm, 11000 1/cm and 15000 1/cm, respectively (for z-polarized photons). The optical matrix element for 0% and +2% strained 1.7nm [110] SiNW is 15meV while for -2% strained SiNW it is 1.5meV. This can explain 10x difference between absorption values at 0% and -2%.
Application of strain can be achieved either intrinsically (e.g. lattice mismatch) or extrinsically (e.g. deformable substrates). The band edge absorption is inversely proportional to the diameter of SiNWs. This is due to the decrease of optical matrix element which was explained using the particle in a box model [2]. Band edge absorption coefficients of 1.7nm, 2.3nm and 3.1nm [110] SiNWs are α=11000 1/cm, 6000 1/cm and 2500 1/cm, respectively. The results of this study suggest that SiNWs can be used to enhance the absorption spectrum of solar cells. Our calculation also shows how the gain spectrum and wavelength of nano-lasers can be tuned by axial strain.
METHODS: Energy of SINWs is minimized using DFT with LDA functional and PW91 exchange correlation potential as implemented in SIESTA®. Spin polarized Kohn-Sham orbitals of double- xi; type with polarization (DZP) were used. Energy cut-off, split norm and force tolerance are 200 Ry, 0.15 Ry and 0.01 eV/Å, respectively. The atomic coordinates are then used to calculate the band-structure with sp3d5s* TB scheme.
REFERENCES:
[1] D. Shiri, A. Verma, C. R. Selvakumar, and M. P. Anantram, Sci. Rep. 2, 461; DOI:10.1038/srep00461 (2012).
[2] G. D. Sanders and Y. -C. Chang, Phys. Rev. B 45, No.16, 9202 (1992).
9:00 AM - R6.48
Post-growth Emission Wavelength Tuning of Indium Phosphide Nanowires Using Aluminum Oxide Coating by Plasma-enhanced Atomic Layer Deposition
David M. Fryauf 1 2 Andrew J. Lohn 3 Byung Joon Choi 4 Steven J. Barcelo 4 Kate J. Norris 1 2 Junce Zhang 1 2 Nobuhiko P. Kobayashi 1 2
1University of California Santa Cruz Santa Cruz USA2NASA Ames Research Center Moffett Field USA3Sandia National Laboratories Albuquerque USA4Hewlett-Packard Laboratories Palo Alto USA
Show AbstractSemiconductor nanowires are widely studied for their unique optoelectronic properties specifically toward the application of light emitters and photodetectors. Design of such optoelectronic devices which require a material system using semiconducting nanostructures (e.g., nanowires) covered with a thin film of metal oxide (i.e., dielectric coating), referred to as semiconductor-oxide nanostructures (SON), can be a very intriguing material platform if optoelectronic properties of the original semiconductor nanostructures can be tuned by explicitly controlling physical/chemical properties of the metal oxide coating. In this paper, we will describe our finding that optical properties of semiconductor nanowires can be tuned by depositing a thin layer of metal oxide coating. We chose indium phosphide (InP) as a material for nanowires because InP is known to have a surface state density much lower than that of other group III-V compound semiconductors, which offers advantages in studying photo emission resulting from radiative recombination of photo generated electron-hole pairs. In our experiment, InP nanowires were grown by low-pressure metal organic chemical vapor deposition on silicon substrates with gold catalyst. InP nanowires formed three-dimensional nanowire networks from which collective optical properties were obtained. The InP nanowire network was coated with an aluminum oxide (AlOx) thin film deposited by plasma-enhanced atomic layer deposition (PEALD) using trimethylaluminum (TMA) as a metal precursor and oxygen (O2) as an oxidant gas activated by remote plasma. We focus on how several key optical characteristics of the InP nanowire network, such as peak wavelength of photoluminescence spectra, change as physical properties, for instance thickness, of the AlOx coating vary. We observed continuous blue shift in photoluminescence spectra when the thickness of the AlOx coating was increased. In other words, emission peak wavelength can be tuned by controlling the thickness of the AlOx coating. Samples were further characterized by scanning electron microscopy, x-ray diffractometry, and energy dispersive x-ray spectroscopy in an attempt to explain the physical mechanisms for the blue shift. We will discuss possible causes for the blue shift, including quantum confinement associated with the AlOx coating that contains fixed charges and confines photo generated electron-hole pairs within an area smaller than the Bohr radius in InP. Because the density and chemical composition/carbon impurity level of PEALD AlOx depend on the deposition temperature, we also studied the effect of AlOx coating deposited at different temperatures. As demonstrated in the example described above, the tuning of optical properties of semiconductor nanostructures by forming a dielectric coating is very advantageous in designing optoelectronic devices to simplify the control on rather complex fabrication processes.
9:00 AM - R6.49
Tunable Catalytic Alloying Eliminates Stacking Faults in Compound Semiconductor Nanowires
Hoseok Heo 1 Kibum Kang 2 Donghun Lee 2 Li-Hua Jin 4 Inchan Hwang 3 Miseong Kim 3 Hyun-Seung Lee 3 Byeong-Joo Lee 3 Yong-Hoon Cho 4 Moon-Ho Jo 2
1POSTECH Pohang Republic of Korea2Yonsei University Seoul Republic of Korea3POSTECH Pohang Republic of Korea4Korea Advanced Institute of Science and Technology Daejeon Republic of Korea
Show AbstractPlanar defects in compound (IIIminus;V and IIminus;VI) semiconductor nanowires (NWs), such as twin and stacking faults, are universally formed during the catalytic NW growth, and they detrimentally act as static disorders against coherent electron transport and light emissions. Here we report a simple synthetic route for planar-defect free IIminus;VI NWs by tunable alloying, i.e. Cd1minus;xZnxTe NWs (0 le; x le; 1). It is discovered that the eutectic alloying of Cd and Zn in Au catalysts immediately alleviates interfacial instability during the catalytic growth by the surface energy minimization and forms homogeneous zinc blende crystals as opposed to unwanted zinc blende/wurtzite mixtures. As a direct consequence of the tunable alloying, we demonstrated that intrinsic energy band gap modulation in Cd1minus;xZnxTe NWs can exploit the tunable spectral and temporal responses in light detection and emission in the full visible range.
9:00 AM - R6.52
Electrochemical Synthesis and Characterization of Nanostructured ZnO
Uemit Demir 1 Fatma Bayrakceken Nisanci 1 Tuba Oeznueluer 1
1Atatamp;#252;rk University Erzurum Turkey
Show AbstractZnO is a wide-bandgap (3.4 eV) semiconductor with growing applications in the optoelectronics, electronics, laser and semiconductor technologies. Among various strategies for synthesizing semiconductor ZnO nanostructures, electrochemical techniques are attractive electrosynthetic alternatives to conventional deposition methods because they are inexpensive, operates at ambient temperatures and pressure, and provides film thickness control [1]. Recently, we have developed a new electrochemical process, based on co-deposition of compounds from the same solution at the under potential deposition (UPD) of the precursors of the target compound, which have been used for the electrochemical deposition of compound semiconductors in the single crystal form with desired dimensions [2]. The electrochemical deposition technique employed in the growth of ZnO nanostructure was the UPD of Zn in a solution containing ZnO (source of Zn2+) suspensions and dissolved O2. Electrodeposited ZnO nanostructures on an indium tin oxide and Au(111) electrodes were analyzed and characterized by X-ray diffraction, high resolution scanning electron microscopy, energy dispersive spectroscopy, UV-Visible absorption, photoluminescence spectroscopy and photocurrent measurements. Structural and morphological studies indicate that growth of these nanostructures follows atom by atom growth mechanism resulting in highly crystalline hexagonal nanorods grown at a kinetically preferred (002) orientation. Our results reveal that the size of the ZnO nanostructures can be controlled by tuning the electrodeposition duration. Effects of the temperatures, pH, ionic strength of solution on the sizes and morphologies of the ZnO nanostructures had been investigated. The PL spectra and photocurrent measurements of the electrodeposited ZnO nanostructures showed a strong UV emission peak and enhanced photocurrents.
[1] Pauporte, T., Lincot D. Electrochimica Acta 45(20), 3345, 2000
[2] Oznuluer, T., Erdogan, I., Sisman, I. Demir, U. Chem. Mater., 17, 935, 2005.
R4: Synthesis and Characterization I
Session Chairs
Jean-Noel Aqua
Yong-Wei Zhang
Wednesday AM, April 03, 2013
Moscone West, Level 2, Room 2016
9:30 AM - *R4.01
Self-assembly of Ordered Epitaxial Nanostructures on Unusual Substrates
Yong-Wei Zhang 1
1Institute of High Performance Computing Singapore Singapore
Show AbstractSemiconductor nanostructures hold great promise for high-performance functional nanoelectronic devices, sensors, thermoelectric devices, high-mobility field effect transistors, batteries, photodetectors and solar cells, owing to their fascinating properties in electronics, photonics, magnetics and so on. Currently, an important research topic is to synthesize hybrid nanostructures through integrating different materials on the same template. Such integrations allow for the formation of artificial nanostructures with fascinating multi-functional properties that cannot be found in nature.
Recently, growth of semiconductor epitaxial nanostructures has been attempted on unusual substrates, such as, pre-patterned substrates, nanomembranes, nanowires and even nanospheres. Although the lattice mismatch between these substrates and the deposited material provides an energetic driving force for its spontaneous self-assembly, the finite feature sizes of the substrates and the non-uniform strain field induced by lattice mismatch provide potential control for the growth process and lead to possible formation of stable, ordered nanostructures.
In this talk, we report our recent theoretical and modeling studies on the growth of nanostructures, such as nanorings and nanodots on unusual substrates, including nanomembranes [1], pre-patterned substrates [2], cylindrical nanowires [2], and polygonal nanowires and nanospheres [3]. Our analysis results reveal that these unusual substrates can lead to stable, ordered formation of epitaxial nanostructures. The finite feature sizes of these unusual substrates serve as controlling parameters to achieve different ordered nanostructures. The predicted morphologies and their transitions are in excellent agreement with existing experiments. Our studies suggest novel approaches to fabricate novel nanostructures on various unusual substrates.
1. G. Vastola, V.B. Shenoy, Y.W. Zhang, Ordering of Epitaxial Quantum Dots on Nanomembranes. ACS Nano 6(4), 3377 (2012).
2. J.Y. Guo, Y.W. Zhang, and V.B. Shenoy, Morphological Evolution and Ordered Quantum Structure Formation in Heteroepitaxial Core-Shell Nanowires. ACS Nano 4(8), 4455 (2010).
3. L.X. Lu, M.S. Bharathi, Y.W. Zhang, Self-Assembly of Ordered Epitaxial Nanostructures on Polygonal Nanowires. Manuscript in preparation, (2012).
10:00 AM - R4.02
Reversible Formation of In-plane Ge Nanowires on Si (1110) Substrates
Gunther Springholz 1 Bolormaa Sanduijav 1 Gang Chen 1 Dan Matei 1 Dominik Kriegner 1 Christian Grossauer 1 Istvan Daruka 1 Marie-Ingrid Richard 2 Daniele Scopece 3 Francesco Montalenti 3 Leo Miglio 3 Armando Rasteffi 1
1University of Linz Linz Austria2Universitamp;#233; Paul Camp;#233;zanne Marseille France3Universita di Milano-Biccoca Milano Italy
Show AbstractThe formation of 1D in-plane nanowires during Ge strained-layer heteroepitaxy on high-indexed Si (1110) substrates is studied in situ using STM, RHEED and grazing incidence X-ray scattering using synchrotron radiation. At growth temperatures below 600°C, nanowires are spontaneously formed at a critical coverage of 4.2 monolayers through nucleation and multiplication of (105) facetted surface ripples that rapidly cover the entire layer surface [1,2]. The nanowires exhibit a well-defined height and width of 16 nm and 1.8 nm, respectively, and their length is several hundred nanometers. Total energy calculations reveal that this process is driven by lowering of surface energy rather than by elastic strain relaxation, contrary to the usual Stranski-Krastanow growth transition. In addition, the key role of the edge energy term is identified [1]. In the present work, we show that under certain conditions, 1D ripple formation is reversible upon annealing at higher temperatures, and their reformation can be induced by cooling below 550°C. This cycle can be repeated many times without much change of the final nanowire structure. The data is compiled in an equilibrium phase diagram as a function of temperature and Ge coverage. The striking reversibility of nanowire formation is attributed to entropic step destabilization of the facetted surface structure at higher temperatures.
[1] G. Chen et al., Phys. Rev. Lett. 108, 055503 (2012).
[2] B. Sanduijav et al., Phys. Rev. Lett. 109, 025505 (2012).
10:15 AM - R4.03
Nanoscale Quantification of Strain in InAlN Nanoribbon High Electron Mobility Transistors
Eric J Jones 1 David Cooper 3 Jean-Luc Rouviere 4 Armand Beche 5 Mohamed Azize 2 Tamp;#243;mas Palacios 2 Silvija Gradecak 1
1Massachusetts Institute of Technology Cambridge USA2Masschusetts Institute of Technology Cambridge USA3CEA Grenoble France4CEA Grenoble France5FEI France Grenoble France
Show AbstractGaN based materials have become an important platform for the fabrication of high performance electronic and optical devices such as the high electron mobility transistor (HEMT). The inherent lattice mismatch in the materials used to fabricate HEMT devices creates stress at the interfaces that can lead to device degradation, if not properly managed. The strain, however, can also be engineered in various devices to tune performance characteristics such as electronic carrier mobility and band gap energy. In the case of III-V based HEMT structures, strain can be used to induce a piezodoping and has been proposed as a means to control the carrier concentration in the 2D electron gas created at the HEMT interface. In order to optimize device fabrication and performance, strain characterization techniques with nanometer-resolution are needed to understand and optimize stress distributions in single devices.
In this work, we apply advanced transmission electron microscopy (TEM) techniques - including geometric phase analysis (GPA) and nanobeam electron diffraction (NBED) - to quantify strain fields in nanostructured InAlN/GaN HEMT devices, which are correlated to observed changes in sheet resistivity. Nanoribbons were patterned on planar HEMT structures using electron beam lithrography and dry etching and were subsequently passivated with a conformal layer of Al2O3. TEM samples were fabricated from the active device regions using focused ion beam lift-out technique. Lattice resolved images for GPA were obtained using a probe Cs-corrected scanning TEM and high angular annular dark field and bight field detectors. The probe corrector also allowed us to obtain NBED patterns with a spot size of 5 nm and a convergence angle of <0.5 mrad. Analysis of strain profiles obtained from GPA and NBED reveal a strain profile that differs from the profile predicted by finite element analysis (FEA) of the nominal device structure. Our result demonstrates that the final HEMT structure can be significantly different from its nominal structure due to standard device fabrication processes. Informed by the experimentally obtained strain values and nanoscale compositional information obtained using energy dispersive X-ray spectroscopy, the FEA model is modified to simulate the HEMT structure that closely matches the experimental strain profiles. This investigation demonstrates that this complementary suite of nanoscale characterization techniques will be crucial in optimizing strain-engineered devices and provides a platform for routine strain mapping on the nanoscale.
10:30 AM - R4.04
Optical Studies of Nanowires and V-shaped InAs Nanomembranes
Esther Alarcon Llado 1 Jacob Trevino 2 Eleonora Russo-Averchi 1 Sonia Conesa Boj 1 Anna Dalmau Mallorqui 1 Emanuele F. Pecora 1 Carlo Forestiere 1 Alex Handin 2 Daniel Rueffer 1 Martin Heiss 1 David Troadec 3 Philippe Caroff 4 Luca Dal Negro 2 Anna Fontcuberta Morral 1
1Ecole Polytechnique Federale de Lausanne Lausanne Switzerland2Boston University Boston USA3Lund University Lund Sweden4Institut damp;#8217;Electronique, de Microamp;#233;lectronique et de Nanotechnologie Villeneuve d'Ascq France
Show AbstractIn the last few decades, there has been a constant effort in reducing the size of semiconductor structures with composition, crystal structure and morphology controlled down to the nanoscale [1]. One of the advantages of nanostructures over traditional thin film technology is the third dimension component resulting in the possibility of reaching complex 3-dimensional architectures and other stable crystal phases, otherwise impossible.
We report on novel mainly wurtzite phase InAs nanostructures in the form of V-shape membranes, which have been epitaxially self-catalytically grown on [001] silicon[2]. We show the effects of shape and morphology on the optical properties and compare them to nanowires with the same diameter. The optical properties are assessed by means of micro- Raman and reflectance spectroscopy. Due to the membrane shape of the nanostructure, dark-field scattering experiments show a significant enhancement of an incident electromagnetic field intensity. These new nanostructures therefore could have interesting potential in nano-sensors, infra-red light emitters and non-linear optical elements.
[1] Hu, J.T.; Odom, T.W.; Lieber, C.M. Chemistry and Physics in One-Dimension: Synthesis and Properties of Nanowires and Nanotubes. Acc. Chem. Res. 1999, 32, 435-445.
Gudiksen, M.S.; Lauhon, L.J. ; Wang, J. ; Smith, D.C. ; Lieber, C.M. Growth of nanowire superlattice structures for nanoscale photonics and electronics. Nature 2002, 415, 617-620
[2] S. Conesa-Boj, E. Russo-Averchi et al, submitted (2012).
10:45 AM - R4.05
Novel Observation in Nanoscale Radial Epitaxial Growth
Jinkyoung Yoo 1 Shadi A. Dayeh 2 Wei Tang 3 Alp T. Findikoglu 4 S. T. Picraux 1
1Los Alamos National Laboratory Los Alamos USA2University of California at San Diego La Jolla USA3University of California at Los Angeles Los Angeles USA4Los Alamos National Laboratory Los Alamos USA
Show AbstractPursuing high-performance and highly efficient semiconductor devices has required innovation in architectures from two-dimensional (2D) planar devices to three-dimensional (3D) devices such as via-trench type capacitors as elements of memory and microposts for vertical cavity surface emitting laser. Semiconductor nanowires have opened great opportunities for new investigations of versatile 3D architectured devices. Especially, vertically aligned semiconductor nanowires enable us to fabricate novel devices based on radial heterostructures, such as photovoltaic devices and light-emitting diodes which are promising applications of 3D nanodevices. However, materials preparation of nanoscale 3D structures has not been thoroughly studied. Epitaxy on curved surfaces, which are common in 3D structures, generates lots of issues that have not been addressed in 2D thin film growth. Previously most studies of radial shell growth on nanowires, a model system for 3D epitaxy, have focused on growth methods to obtain crystalline shells without elucidating fundamental growth mechanisms, including the effect of dimensions or impurities. Here we present a systematic study of doped and undoped Si radial shell growth on curved surfaces. Our comprehensive study gives valuable new insights on radial epitaxial growth at the nanoscale for high-performance semiconductor devices.
Single crystalline Si radial shell growth was conducted on core Si NWs. The dimensions of the core Si NWs and epitaxial Si shells were precisely controlled by lithographic techniques and Si deep reactive ion etching followed by low-pressure chemical vapor deposition growth. Vertical core Si NW arrays with diameter in the range of 100 nm to 3 micrometers were used for the radial shell growth template. Atomically smooth Si NW surfaces for radial epitaxy were prepared by multi-step thermal oxidation and wet chemical oxide stripping. Low-pressure chemical vapor deposition was employed to grow single crystalline undoped and doped radial Si shells. The single crystalline doped and undoped Si shells were grown in the range of 700 to 800oC to avoid autodoping issues at high growth temperatures. The growth rate and crystallinity of radial Si shells were investigated by scanning and transmission electron microscopy. Through a series of characterizations we observe an unprecedented dependence of growth rate of the single crystalline Si shell along the radial direction on initial diameter, which cannot be explained by the Gibbs-Thomson effect (previously used for diameter-dependent vapor-liquid-solid growth rate of nanowires with diameters less than several tens of nanometers). We also discuss the effect of dopants on the radial epitaxial growth rate and discuss our current understanding of the growth mechanism of single crystalline Si radial shells at nanoscale dimensions. Our study gives novel insight on semiconductor growth in 3D architectures.
11:30 AM - *R4.06
Novel Behavior in Growth of III-V Nanostructures
Jerry Tersoff 1
1IBM Watson Center Yorktown Heights USA
Show AbstractA variety of self-assembled nanostructures can be grown from either group IV or III-V semiconductors, including nanowires, quantum dots, and more complex structures. In many ways, the behavior of different semiconductors is surprisingly similar. However, the growth of III-V nanostructures has an additional degree of freedom (III/V ratio), and in important respects can be very different than group IV. This talk will present simple theoretical treatments of two widely-studied III-V systems: nanowire growth, and droplet epitaxy. In each case, comparison will be made with recent experiments. Using in-situ microscopy to study growth of GaP nanowires, Chou, Ross et al. have found remarkable differences depending on III/V ratio. In different regimes, the growth exhibits very different sensitivities to source-gas pressures. More surprisingly, the presence of crystal defects induces a dramatic change in growth kinetics in one regime, but not the other. For droplet epitaxy, Zhou, Jesson et al. used in-situ microscopy to rule out previous models of growth. They showed that for self-assembled double-ring structures, the inner and outer ring arise from very different mechanisms. The outer ring in particular illustrates the novel behavior peculiar to III-V semiconductors. Simple explicit models are presented for both the nanowire growth and droplet epitaxy. In both cases, the surprising experimental behavior is reproduced, and is explained by the very different behavior of the group V and group III species.
12:00 PM - R4.07
Catalyst Dissolution during Nanowire Growth
Oussama Moutanabbir 1 Dieter Isheim 2 Stephan Senz 3 David N Seidman 2
1Ecole Polytechnque de Montreal Montreal Canada2Northwestern University Evanston USA3Max Planck Institute of Microstructure Physics Halle Germany
Show AbstractThe incorporation of impurities during growth of nanowires from the vapour phase alters deeply their basic properties with impacts on an extended range of emerging nanoscale technologies. Herein, we present an atomistic level and quantitative study of the phenomenon of catalyst dissolution by achieving three-dimensional atom-by-atom maps of individual aluminium catalyzed silicon nanowires using highly focused ultraviolet laser-assisted atom-probe tomography. Although the observed incorporation of the catalyst atoms into nanowires exceeds by orders of magnitudes the equilibrium solid-solubility and solid-solution concentrations in known non-equilibrium processes, aluminium impurities are homogeneously distributed and do not form precipitates or clusters. Besides inducing p-type doping, this kinetics-driven colossal injection also has direct implications for nanowire morphology. The current theories of solute trapping at moving interfaces cannot explain the observed phenomenon. This strong deviation from equilibrium is described using a model of atom at step edges and the key growth parameters behind this phenomenon are identified based on a kinetic model of step-flow growth of nanowires. The control of this catalyst dissolution provides myriad opportunities to create entirely new class of nanoscale devices by precisely tailoring shape and composition of metal-catalyzed nanowires.
12:15 PM - R4.08
Kinetic Monte Carlo Simulations of Droplet Epitaxy and VLS Nanowire Growth
Kristofer Reyes 1 Peter Smereka 1 Joanna Mirecki-Millunchick 2 Denis Nothern 2
1University of Michigan Ann Arbor USA2University of Michigan Ann Arbor USA
Show AbstractWe present a Kinetic Monte Carlo (KMC) model and simulation results of droplet epitaxy and nanowire growth by the Vapor-Liquid-Solid (VLS) procedure. In the droplet epitaxy simulations, we model the formation of liquid Ga droplets and their subsequent crystallization by exposure to an As flux. The simulations capture the dependence of the resulting nanostructures on growth conditions including temperature and As deposition rate, in agreement with experiments. The formation of several types of simulated nanostructures including quantum dots, nanorings and core/shell structures are studied. In the case of nanowire growth by the VLS method, we perform a parameter study on the growth modes of nanowires. We exhibit how energy parameters controlling mobility at the liquid/solid interface affect the nucleation of facets in the solid phase. We present simulations indicating how such facets play a role in the growth direction of the resulting nanowires.
12:30 PM - R4.09
Palladium Catalyzed Defect-free <110> Zinc-blende Structured InAs Nanowires
Hongyi (Justin) Xu 1 Qiang Gao 2 Hoe Tan 2 Chennupati Jagadish 2 Jin Zou 1 3
1The University of Queensland Brisbane Australia2The Australian National University Canberra Australia3The University of Queensland Brisbane Australia
Show AbstractIII-V semiconductor nanowires have shown superior electrical, electronic and optoelectronic properties, which turned them into popular candidates of advanced building blocks for future nanodevices.[1] As a consequence, theoretical and experimental researches on epitaxial III-V semiconductor nanowires have expanded rapidly over the recent years. Tremendous efforts have been devoted to improving the control of the morphological and structural properties of III-V nanowires by tuning the key growth parameters, namely the temperature and V/III ratio.[2,3] In these nanowire growths, Au catalysts prepared by different methods has been widely used in both molecular beam epitaxy and metal-organic chemical vapor deposition (MOCVD) systems, benefited from its chemical inertness and ability to form low-temperature eutectic alloys with various group III elements. In contrast, less attention has been paid to controlling the growth of epitaxial III-V nanowires by using modified Au catalysts[4] and non-Au catalysts.[5] In this study, we demonstrate that, by using a thin palladium film as catalyst, epitaxial defect-free zinc-blende structured InAs nanowires can be grown on GaAs{111}B substrates in a MOCVD reactor. Detailed electron microscopy investigations indicate that these defect-free InAs nanowires grew along the <110> directions with four low-energy {111} faceted sidewalls and {113} nanowire/catalyst interfaces, while the post-growth catalysts are body-centered-cubic structured InPd single crystals. This study suggests that, by altering the nanowire growth to non-<111> directions and the nanowire/catalyst interface to non-{111} planes, defect-free zinc-blende structured nanowires may be realized.
[1] X. F. Duan, Y. Huang, Y. Cui, J. F. Wang, and C. M. Lieber, Nature 409 (6816), 66 (2001).
[2] H. J. Joyce, J. Wong-Leung, Q. Gao, H. H. Tan, and C. Jagadish, Nano Lett. 10 (3), 908 (2010).
[3] K. A. Dick, C. Thelander, L. Samuelson, and P. Caroff, Nano Lett. 10 (9), 3494 (2010).
[4] P. A. Lin, D. Liang, S. Reeves, X. P. A. Gao, and R. M. Sankaran, Nano Lett. 12 (1), 315 (2012).
[5] S. Heun, B. Radha, D. Ercolani, G. U. Kulkarni, F. Rossi, V. Grillo, G. Salviati, F. Beltram, and L. Sorba, Small 6 (17), 1935 (2010).
12:45 PM - R4.10
Nanowire Heterostructures Seeded by Cu Particles
Karla Hillerich 1 Kimberly A. Dick 1 2 Knut Deppert 1 Jonas Johansson 1
1Lund University Lund Sweden2Lund University Lund Sweden
Show AbstractSemiconductor nanowires (NWs) have been grown with the help of Au seed particles for years. This method has led to many interesting structures and towards a deepened understanding of NW growth. Au has apparently versatile properties to seed a broad range of materials. To get closer to a general understanding of particle assisted NW growth, it is important to test different seed materials in order to decouple the seeding behavior from properties inherent to Au. We have previously demonstrated InP NW growth from Cu seed particles [1, 2]. Here, we present for the first time V/III heterostructures seeded by another foreign seed material than Au.
In our study, InP-InAs axial heterostructure NWs were grown by MOVPE on InP {111}B substrates, using Cu particles formed in situ from a thin film during an annealing step. Alternating segments of InP and InAs were grown at 340 °C with varying growth times.
We have proposed a dynamic mechanism [2] for Cu seeded InP NW growth, where the particle changes composition and state (solid/liquid) during growth under In rich conditions. The growth conditions for the InP segments in this study, however, were chosen such that growth from a solid particle with constant composition could be expected. In addition, the TMIn flow was interrupted between InAs and InP segments to recover the solid Cu2In particle. Still, straight growth of InAs segments could only be achieved in an unstable regime, where some particles enrich in In during the growth of the segment. This strongly affects the growth of the subsequent InP and InAs segments.
In our presentation, we will discuss the influence of Cu as seed material on the formation of heterostructures in nanowires; in particular the effect of the particle state on the growth of different segments, the incubation of the InAs segments, the abruptness of the interface as well as the influence of surface diffusion. Finally, we will compare our results with growth behavior of Au seeded heterostructures.
References:
[1] K. Hillerich et al., J. Cryst. Growth 315, 134 (2011).
[2] K. Hillerich et al., Nano Research 5, 297 (2012).
Symposium Organizers
Isabelle Berbezier, Aix Marseille University
Jean-Noel Aqua, Universiteacute; Paris 6 - INSP
Jerrold Floro, University of Virginia
Andrej Kuznetsov, University of Oslo
R8: Quantum Dot Based Photovoltaic Devices
Session Chairs
Thursday PM, April 04, 2013
Moscone West, Level 2, Room 2016
2:30 AM - R8.01
Investigation of Quantum Dot Solar Cell Device Performance
Neil Scott Beattie 1 Guillaume Zoppi 1 Ian Farrer 2 Patrick See 3 Robert W. Miles 1 David A. Ritchie 2
1Northumbria University Newcastle upon Tyne United Kingdom2University of Cambridge Cambridge United Kingdom3National Physical Laboratory Teddington United Kingdom
Show AbstractStacked layers of quantum dots have been proposed as a route to creating an intermediate band of quantum states in a GaAs solar cell [1-3]. Such a band has been predicted to improve the photovoltaic device performance by (1) capturing photons with energy less than the band gap of the host semiconductor and (2) subsequent promotion of photo-excited carriers from the intermediate band to the conduction band [4]. While recent studies involving InAs quantum dots grown in the Stranski-Krastinov strained growth mode have demonstrated an enhanced external quantum efficiency (EQE) attributable to the inclusion of quantum dots, almost all of the reported devices exhibit degradation of parameters associated with the current density versus voltage (J-V) characteristic [5,6]. In this work we report results from an intermediate band gap solar cell formed by integrating stacked layers of InAs self-assembled quantum dots within a GaAs p-i-n structure grown by molecular beam epitaxy. Photoluminescence measurements on undoped samples reveal high quality optical layers with room temperature emission at sim;1.08 eV. Solar cell devices fabricated from the p-i-n structures demonstrate enhanced EQE relative to a control sample without quantum dots. However, the EQE of the quantum dot sample is also slightly reduced above the GaAs band edge relative to a control sample. This effect limits the amount of additional photocurrent generated by including the quantum dots. The J-V characteristics for the quantum dot solar cells show a reduction in the open circuit voltage relative to a control device. This is likely to be a consequence of strain, which is uncompensated in these devices. However, systematic investigation of the J-V curves for quantum dot samples shows an improvement in performance attributable to the presence of quantum dots as the device area reduces. This is manifest as an increase in the shunt resistance accompanied by an unexpected decrease in series resistance.
References
[1] D. Guimard et al., Appl. Phys. Lett. 96, 203507 (2010)
[2] C. Bailey et al., Appl. Phys. Lett. 98, 163105 (2011)
[3] R. B. Laghumavarapu et al., Appl. Phys. Lett. 90, 173125 (2007)
[4] A. Luque and A. Martí, Phys. Rev. Lett. 78, 5014 (1997)
[5] R. B. Laghumavarapu et al., Appl. Phys. Lett. 91, 243115 (2007)
[6] D. Zhou et al., Appl. Phys. Lett. 96, 83108 (2010)
2:45 AM - R8.02
Correlations between Photoluminescence and Device Performance of PbS Quantum Dot Solar Cells
Jianbo Gao 1 Jianbing Zhang 1 2 Justin Johnson 1 Arthur Nozik 1 2 Matthew Beard 1
1NREL Golden USA2University of Colorado, Boulder Boulder USA
Show AbstractPbS quantum dot (QDs) solar cells have attracted intense attentions recently due to their room temperature and solution-processing, and flexibility. Power conversion efficiencies have risen from 1% just a few years ago to approaching 7% today.
In this talk, we will demonstrate the direct correlations between PbS QD thin film photoluminescence and device performance of PbS QD solar cells with ~6% efficiency.
3:00 AM - R8.03
Quantum Dot Ge/TiO2 Heterojunction Photoelectronic Device Fabrication and Performance
Carena P. Church 1 Elayaraja Muthuswamy 2 Susan Kauzlaurich 2 Sue A. Carter 1
1UC Santa Cruz Santa Cruz USA2UC Davis Davis USA
Show AbstractWhile Germanium (Ge) quantum dots are attractive solar materials due to their abundance, low cost, low toxicity and optimum tunable bandgap both for multiple exciton generation and IR response, their photocurrents have been too low to be used in solar energy conversion. We report on the successful fabrication and characterization of devices with high photoconductivity utilizing Ge colloidal quantum dots (CQD) synthesized via a facile microwave method as the active layer. Spun-cast TiO2-Ge heterojunction type photodetectors have been investigated for the first time, with particular interest paid to performance enhancements related to device design. Specifically, we study photoconductivities as a function of QD size, absorber layer thickness, and back contact. Our results indicate the largest QDs perform best, with photocurrents 2 orders of magnitude higher than the smallest sized QDs. Back contact choice can further increase photoconductivity by up to 2 orders of magnitude. Additionally, we have achieved ultra-thin (~200nm) devices with photocurrents at 0.5V of 10-4 A/cm2 with on-off ratios >100, while our thickest (~1000 nm) devices have photocurrents at 0.5V around 5 mA/cm2 . Our best devices have photoccurrents greater than 10mA/cm2, which represents 5 orders of magnitude increase in performance over previously fabricated hybrid organic-Ge CQD devices. These photocurrents are comparable to Ge thin film solar cells, indicating that low-cost, solution processable Ge quantum dots can be an efficient solar cell material if sufficient open-circuit voltage (Voc) can be achieved across the film. We conclude by discussing a variety of device structures we have tested to increase Voc.
3:15 AM - R8.04
p-i-n Heterojunction Quantum Dot (QD) Solar Cells
Dong-Kyun Ko 1 2 Patrick R. Brown 3 Vladmir Buloviamp;#263; 1 Moungi G. Bawendi 2
1Massachusetts Institute of Technology Cambridge USA2Massachusetts Institute of Technology Cambridge USA3Massachusetts Institute of Technology Cambridge USA
Show AbstractDisordered semiconductors exhibit poor electronic transport properties as a result of their amorphous nature. Low carrier mobilities and lifetimes typically limit diffusion lengths to 100 ~ 300 nm. Thus, a conventional p-n junction photovoltaic design used for crystalline silicon that employs a large quasi-neutral region and a small depletion region leads to poor charge extraction for disordered materials. To overcome this materials limit, a p-i-n junction is utilized in amorphous silicon solar cells. The internal electric field extends throughout the intrinsic absorber layer, enabling efficient carrier separation and extraction. Films composed of quantum dots (QDs) show electronic transport properties similar to disordered semiconductors and can also benefit from the p-i-n “drift” device architecture, which, to date, has not been applied to QD solar cells.
In this work, we exploit two major advantages of the p-i-n heterojunction architecture, using PbSe QDs as an intrinsic absorber layer sandwiched between thin films of p-type CuI and n-type ZnO. The first advantage is a widening of the depletion region. This widening enables the optimum thickness of the absorber layer to be increased, which provides more photogenerated carriers, and thereby increases the short-circuit currents (Jsc). Comparison of devices with and without the CuI layer as a function of QD layer thickness demonstrates that the thickness at which the maximum JSC is obtained increases from ~ 180 nm for QD-ZnO junctions to 240 nm for complete CuI-QD-ZnO junctions, reaching a JSC of 21.6 mA/cm2. The second advantage of the p-i-n architecture is the ability to obtain a stronger built-in field without altering the QD absorber layer. A large Fermi level difference between the n- and p-type layers increases both the open-circuit voltages (VOC) and the charge collection efficiency. Intensity- and bias-dependent photocurrent and external quantum efficiency measurements provide further insight into the recombination kinetics in these devices.
R9: Optical Properties of Nanostructured Semiconductor
Session Chairs
Joanna Millunchick
Gunther Springholz
Thursday PM, April 04, 2013
Moscone West, Level 2, Room 2016
4:00 AM - *R9.01
Droplet Epitaxial Nano-structures as Single Photon Sources on Silicon
Marco Abbarchi 1 Sergio Bietti 1
1Ecole Normale Superieure Paris France
Show AbstractDroplet epitaxy (DE) is a non-conventional growth technique based on molecular beam epitaxy. This method,
differently from strain-induced 3-dimensional nano-structures, enables the growth of lattice-matched and strain-free
self-assembled III-V nano-emitters. Thanks to the versatility of the DE, different kinds of nano-structures can be
implemented: quantum dots, coupled quantum dots, multiple concentric quantum rings, quantum disks, as well
as combinations of these different shapes can be obtained by playing with the growth conditions. Moreover, DE
enables the growth on different substrates orientations (such as the (100), (311)A, (111)A) enabling ultra-low
or ultra-high nano-structure density. Most importantly, DE makes possible the growth of bright III-V quantum
emitters on substrates made of Silicon and Germanium.
In this talk I will introduce some features of growth and photoluminescence spectroscopy of single GaAs/AlGaAs
DE nano-structures. In particular I will concentrate on quantum dots and rings addressing their electronic
structure, fine structure and line broadening: I will show how the composition, shape, geometrical anisotropy
and disorder rule the optical properties and how, thanks to recent advances in the DE method, bright and sharp
photoluminescence lines can be obtained in circular symmetric quantum dots. Finally, I will show recent advances
in the growth of III-V nano-structures on IV-IV substrates demonstrating single photon emission at high temperature
fully compatible with CMOS silicon devices.
4:30 AM - R9.02
Generation of Visible Hot Luminescence in Bulk-sized Silicon by Coupling with Nanocavity Plasmons
Chang-Hee Cho 1 2 Carlos O Aspetti 1 Joohee Park 1 Ritesh Agarwal 1
1University of Pennsylvania Philadelphia USA2Daegu Gyeongbuk Institute of Science amp; Technology Daegu Republic of Korea
Show AbstractEngineering light emission from silicon has been of great interest for developing efficient silicon-based light sources, which can be easily integrated with conventional electronics. It has been known that silicon emits visible light only when it forms quantum-confined nanostructures at sub-10 nm lengthscales [1], but there are difficulties in integrating quantum structures with conventional electronics due to poor carrier transport [2]. In this work, we demonstrate an entirely new concept to achieve bright visible light emission in “bulk-sized” silicon coupled with a plasmon nanocavity at lengthscales that are compatible with current electronic devices (30 - 100 nm). Highly concentrated electromagnetic field supported by the plasmon nanocavity generates bright visible light emission from non-thermalized hot carriers before the relaxation of hot carriers. The rapid recombination of hot carriers before their relaxation is due to the large Purcell enhancement in these plasmonic nanocavities. The highly enhanced emission quantum efficiency (>1%) at room temperature in plasmonic silicon, along with its size compatibility with present silicon electronics, provides new avenues for the development of a monolithically integrated light-source on conventional microchips.
References
[1] A. G. Cullis et al., Nature 353, 335 (1991).
[2] R. J. Walters et al., Nature Mater. 4, 143 (2005).
4:45 AM - R9.03
Fast, Air-stable Infrared Photoconductors Based on Water-soluble HgTe Quantum Dots
Mengyu Chen 1 Haihua Xu 1 Steve Kershaw 2 Andrey Rogach 2 Ni Zhao 1
1Chinese University of Hong Kong Hong Kong Hong Kong2City University of Hong Kong Hong Kong Hong Kong
Show AbstractThe ability to detect near-infrared and mid-infrared radiation has spawned great interest in colloidal HgTe quantum dots (QDs). Photodectectors based on HgTe QDs with spectral sensitivity up to 5 mu;m have been reported. On the other hand, the temporal response time, another important figure of merit for HgTe QD photodetectors, is rarely studied. In this work, we report a simple HgTe QD photoconductor structure fabricated through a spray-coating process. The devices exhibit 750 KHz 3dB bandwidth and 0.2 A/W responsivity in the near-infrared range. The origin of this remarkably fast time response is investigated by combining chemical composition analysis with optical and electrical characterization techniques, including light intensity-dependent and temperature-dependent transient photocurrent measurements, FET measurements and time-resolved photoluminescence spectroscopy. The results suggest the presence of shallow trap states, which provide an efficient recombination pathway that lead to the fast response in the expense of low gain. Interestingly, we found that the time response or, more fundamentally, the position of the trap states can be tuned by controlling the QD size and surface chemistry. This allows us to balance between the responsivity and bandwidth to optimize the device performance. It is worth mentioning that the use of water-soluble QDs, which are capped with very short ligands and stabilized in water via electrostatic interaction, obviates the need for post-deposition ligand exchange and therefore allows a less laborious and higher throughput manufacturing process. In addition, all the devices are fabricated in ambient condition and show good stability after long time testing.
5:00 AM - R9.04
Opto-mechanical Transparency in Quantum Dot Molecules
Michael Scheibner 1 Mark L. Kerfoot 1 Alexander O. Govorov 2 Davis Lu 1 Randall Babaoye 1 Allan S. Bracker 3 Daniel Gammon 3
1University of California, Merced Merced USA2Ohio University Athens USA3Naval Research Laboratory Washington USA
Show AbstractIn the solid-state-based crystalline components of modern technology crystal lattice vibrations are everywhere. Encountered in daily life they are associated mainly with heat, loss of energy and noise. They further play a crucial role on the quantum level, governing fundamental phenomena that range from the relaxation dynamics of charge carriers in low dimensional structures to the cooperative behavior that underlies superconductivity. To learn how to control lattice vibrations and their quanta, the phonons, is thus intriguing on many levels.
Here we report on the utilization of single phonons as a control parameter for the optical response of individual quantum dot molecules. Thereby phonons enter the realm of mutual control of quantum states on the single particle level, which so far has been dominated by photons, electrons and spins.
Quantum dots are crossroads where these individual particles can be made to interact and control each other. Quantum dot molecules are systems of quantum dots. They possess highly tunable electronic, optical and spin properties [1]. We use quantum dot molecules to tune discrete optical transitions across tens of meV to enhance the interaction between excitonic states and optical phonons. In the presented case the interaction leads to an opto-mechanical mechanism by which the quantum dot molecule is rendered transparent by the quantized vibration of its own underlying lattice. The interaction quasi decouples the quantum dot molecule from its environment.
We identify a Fano-effect as the physical mechanism behind this opto-mechanical transparency of the quantum dot molecule [2]. The Fano effect arises from a quantum interference between two competing optical pathways, one associated with a discrete state and the other a continuum of states. The result of this interference is a rapidly changing absorption lineshape verses the excitation energy. We show that residual tunneling between the ground state hole level of the intradot exciton and the hole levels of the interdot excitons mediate the coupling. The revealed Fano resonance is easily tunable and the consequent dips and peaks in absorption provide a switch to control quantum states. The universality of the Fano effect makes the concept of a vibration induced decoupling from the environment transferable to a broad range of systems. We anticipate our results may provide an impetus for further investigations on the gainful use of phonons to provide greater control over individual quantum states, for example providing an on/off switch for optical state preparation and manipulation.
[1] Michael Scheibner, et al., “Essential concepts in the optical properties of quantum dot molecules” Solid State Comm. 149, 1427-1435 (2009).
[2] Mark L. Kerfoot, et al., “Opto-Mechanical Transparency in Quantum Dot Molecules”, (in preparation).
5:15 AM - R9.05
A New Generation of Core/Shell Nanocrystals Combines High Emission Quantum Yields, Narrow Linewidths, Suppressed Blinking, and Compact Size
Ou Chen 1 Moungi Bawendi 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractNanocrystal quantum dots (QDs) have large potential as a unique optical material in a broad range of applications that rely on downshifting light, especially in applications that rely on achieving a high level of spectral purity at relatively high optical flux levels. Here, we have newly designed a synthesis that produces high-quality CdSe/CdS core/shell QDs at elevated temperature. The resulting CdSe/CdS core/shell QDs perfectly maintain the original crystal structure of the starting CdSe core. We found that single dot blinking is also significantly suppressed with only a relatively thin shell. These core/shell QDs for the first time simultaneously satisfy the criteria including high uniformity, high PL QY, very narrow PL peaks, and significantly suppressed blinking (over 90% on-time fractions). In addition, the small size of the shells allows for compact QDs generally suitable for biological imaging applications. We demonstrate that these CdSe/CdS core/shell QDs can be easily brought into water by ligand exchange processes with either polyethylene glycol thiol (PEG-SH) or poly imidazole ligands (PIL). These water-soluble QDs maintain high PL QY (>70%) and are suitable as in vivo imaging agent. In short, the QDs described here have been sought after for a long time but had not yet been achieved. These QDs will enable a variety of applications ranging from solid state lighting and illumination to biological multiplexed labeling and tracking.
5:30 AM - *R9.06
Directed Self Assembly and Optical Properties of Nanostructures in Compound Semiconductors
Joanna Mirecki Millunchick 1
1University of Michigan Ann Arbor USA
Show AbstractThe formation of nanoscale structures continues to be an important topic of research for a variety of applications, including solar cells, thermoelectrics, sensors, and transistors. Our group examines the fundamental physics behind the formation mechanisms of semiconductor nanostructures produced from both a “top-down” and self assembled point of view. We study in situ surface patterning as a route to control nanostructure formation, including the self assembly and formation of strain-relieving defects. In this work, we use a novel in vacuo FIB and growth system. Controlled nucleation of InAs quantum dots has been achieved by Ga+ focused ion beam modification of GaAs(100) surfaces. Quantum dots may be induced in irradiated regions despite the fact that the deposited thickness is less than the critical thickness for their formation under typical growth conditions. Experiments show that the size and quantity of quantum dots formed depend on growth parameters, ion dose, and pattern spacing. The patterned quantum dots persist as more layers are deposited, despite mound that occurs when more than 20 layers are deposited. Quantum dot emission at 1.24 eV was observed only on patterned regions of the multiplayer structures, demonstrating that they are optically active. Photoluminescence measurements as a function of pattern spacing show that the dot and wetting layer emission intensities are inversely related. Two dimensional mapping of the luminescence spatially and spectrally resolves the emission from individual dots. Power dependence measurements reveal that the emission increases linearly with power, and maps of the emission show that the positions of each peak are spatially separated, suggesting that the peaks are ground-state exciton emission from different dots. Recently, we have examined nonlinear emission dynamics of quantum dots coupled to photonic crystal cavities in the Purcell regime.
R10: Poster Session
Session Chairs
Thursday PM, April 04, 2013
Marriott Marquis, Yerba Buena Level, Salons 7-8-9
9:00 AM - R10.01
Size Dependent Optical Properties of Silicon Carbide Quantum Dots
Munuve Mwania 1 Peter Kroll 1 Csaba Janaky 1 Norma Tacconi 1
1The University of Texas Arlington Arlington USA
Show AbstractWe study size dependence of photo-induced electronic transitions and their dynamics in β-SiC quantum dots (QDs) with diameters ranging from 2 nm to 5 nm. β-SiC QDs are synthesized by electrochemical etching of a polycrystalline β-SiC wafer and subsequently dispersed ultrasonically in polar and non-polar solvents. The stable colloidal suspensions of β-SiC QDs exhibit a yellow color, due to luminescence from the room and daylight induced electronic transitions. We separate fractions through ultra-centrifugation and analyze QDs and their size distribution using transmission electron microscopy (TEM). Optical properties are characterized using absorption spectroscopy (UV-VIS) and fluorescence spectroscopy.
Our results confirm quantum confinement in β-SiC quantum dots. We observe a correlation between particle size and absorption edge, as well as between particle size and position of the emission spectrum. Large QDs exhibit absorption edges slightly above the bulk value of 2.2 eV, while small QDs exhibit a clear blue shift of the absorption edge, which increases up to 3.5 eV. Ultra-small QDs exhibit additional absorption edges with an onset at 4 eV shifting to 6 eV for the smallest QDs. Hence our experiments detail these features, which had been predicted in previous theoretical studies.
The time resolved fluorescence emissions of the colloidal suspensions are best fitted by multiple exponential functions, with amplitudes Ai and lifetimes tau;i depending on sizes and their relative distribution in the colloidal suspensions. Using mono-disperse colloidal suspensions for calibration we are able to derive a relation between the size and lifetime, which in turn allows us to provide a quantitative approach to characterize the size distribution of QDs in colloidal suspensions.
Our results expand the fundamental understanding of β-SiC quantum dots, necessary to exploit their applications in photonics and life sciences.
9:00 AM - R10.02
Fabricating Chiral, 3D Micron- to Nano-sized Structures in a Single Lithography/Etch Cycle Using Metal-assisted Chemical Etching
Owen Hildreth 2 1 Ching P Wong 1
1Georgia Institute of Technology Atlanta USA2National Institute of Standards and Technology Boulder USA
Show AbstractFabricating nanostructures with complex, 3D geometry for applications such as chiral photonics can be extremely challenging using existing photolithography and etching processes. In this work we demonstrate a simple method to etch chiral, 3D geometry in a single lithography/etch cycle using Metal-assisted Chemical Etching (MaCE) of silicon in conjunction with shaped catalysts. In MaCE a metal catalyst, such as Au, is patterned on a silicon wafer and then immersed in an etchant solution composed of Hydrofluoric Acid (HF) and an oxidizing agent, such as Hydrogen Peroxide (H2O2). The metal serves to create a traveling galvanic etching reaction by first catalytically reducing H2O2, consuming two electrons, and then injection two holes (h+) into the valance band of the silicon in contact with the metal. This creates a hole (h+) rich region of silicon surrounding the metal catalyst that is readily oxidized by the HF to form soluble H2SiF6. The etching reaction is perpetuated at the metal catalyst is driven into the substrate as the silicon around and beneath the catalyst is removed.
The fact that the catalyst that defines the etch profile travels with the etching front enables us to etch complex, 3D geometry with extremely high feature fidelity even for high aspect ratio structures. One of the challenges of fabricating 3D geometry using MaCE centers around understanding the interaction between catalyst geometry and the resulting etching path. In this work we demonstrate the ability to fabricate arrays of spiraling 3D structures with pre-definable and controlled chirality using star-shaped catalysts. The relationship between catalyst geometry, thickness, and the importance of in-situ catalyst deformation is detailed.
We also demonstrate how to fabricate 3D metallic structures from a MaCE formed template using the remnant catalyst as the seed layer for electroless deposition. This enables us to fabricate large arrays of 3D metallic structures with future applications in chiral photonics and metamaterials.
9:00 AM - R10.03
Enhanced Photocurrent due to Interband Transitions from InAs Quantum Dots Embedded in InGaAs Quantum Well Solar Cells
Ramesh Vasan 1 Yahia Makableh 1 Jony Chandra Sarker 1 Omar Manasreh 1
1University of Arkansas Fayetteville USA
Show AbstractSolar cells based on InAs quantum dots embedded in InxGa1-xAs quantum wells grown on n-type GaAs subtrate were fabricated and tested. Structures with In mole fraction (x) in the range of 0-40% were investigated. These structures were grown using molecular beam epitaxy. The performance of the solar cells was evaluated using current-voltage characteristics, photoresponse, and quantum efficiency measurements. The photoresponse and quantum efficiency spectra possess several peaks along the lower energy side of the spectra, which are attributed to the interband transitions in the structure. These peaks are red shifted as x is increased above 0 %. Simulations of the GaAs barrier/ InAs quantum dot/ InGaAs quantum well structure were carried out using Comsol software. In addition to the major interband transitions in the structure, several low intensity peaks were observed above 1000 nm in the spectral response. The power conversion efficiency of the solar cells was obtained from the current-voltage characteristics measured using three sun AM 1.5 solar simulator. It is noted that the short circuit current density was increased and open circuit voltage was decreased as x is increased above 0 %.
9:00 AM - R10.04
High Temperature Luminescence Quenching of Colloidal Quantum Dots
Yiming Zhao 1 Charl Riemersma 1 Francesca Pietra 1 Rolf Koole 2 Celso de Mello Donega 1 Andries Meijerink 1
1Utrecht University Utrecht Netherlands2Philips Research Laboratories Eindhoven Netherlands
Show AbstractA high luminescence efficiency is an important property of colloidal Quantum Dots (QD) and it is especially important for applications of QDs in luminescent devices. Luminescence efficiencies are strongly temperature dependent. Although extensive temperature dependent studies have been conducted at cryogenic temperatures, the luminescence properties of QDs above room temperature (RT) are hardly investigated and yet, for most applications in luminescent devices, the working temperature is higher than 300 K.
Here, we present high temperature (300-500 K) luminescence studies for three types of widely used highly efficient (60-70 % QY) core-shell QDs, aimed at obtaining insight into temperature quenching of QD emission [1]. Through thermal cycling (‘yoyo&’) experiments for QDs in polymer matrices, reversible and irreversible luminescence quenching processes can be distinguished. Irreversible quenching originates from thermally induced permanent structural changes, giving rise to trap states, and was found in CdSe/CdS/ZnS core-shell-shell QDs and CdSe/CdS dot core/rod shell nanorods, but not in CdTe/CdSe core/shell QDs. Reversible quenching was observed to contribute to luminescence quenching for QDs in all three core-shell systems in a similar temperature range (between 100-180 °C). Reversible quenching is explained either by thermally activated escape of carriers to existing (surface) trap states and/or thermally activated creation of trap states which relax upon cooling. The quenching temperature for QD emission estimated based on classical models for luminescence quenching (thermally activated cross-over or multi-phonon relaxation) is much higher than 200 °C and cannot explain the observed quenching behavior. The results have important implications for application of QDs in optical devices (e.g., warm white LEDs, electroluminescent devices and QD-lasers) with operating temperatures above 100°C. Further studies on different QD systems are required to gain further insight in the quenching mechanism and to find efficient QDs with higher luminescence quenching temperatures.
[1]. Y. Zhao et al., ACS Nano DOI 10.1021/nn303217q
9:00 AM - R10.05
Impact of the Aggressive Scaling on the Performance of FinFETs: The Role of a Single Dopant in the Channel
Manuel Aldegunde 1 Antonio Martinez 1
1Swansea University Swansea United Kingdom
Show AbstractFinFETs have recently been introduced for mass production of transistors and are strong candidates for the scaling of MOSFETs into the next technology nodes [1]. A serious concern in the process of scaling is the precise control of dopants. In this work we study the impact of scaling on the effect of a single unintentional dopant atom (donor or acceptor) in the middle of the channel of two Si FinFETs scaled according to the ITRS [2]. We use a non-equilibrium Green's functions (NEGF) transport formalism using a Hamiltonian in the effective mass approximation and including scattering with optical and acoustic phonons, which are dominant in silicon transistors [3].
We consider two different devices with channel lengths of 11.8 and 6.6 nm and body thicknesses of 5.8 and 4.2 nm, respectively. For both devices we considered a ratio between fin height and thickness of 2:1. All simulations were carried out at a drain bias of VD=0.2 V and gate biases of VG=VT-0.2V, VT and VT+0.2V. Despite the thinner body of the smaller device, the increased tunnelling due to the reduced gate length leads to a worse subthreshold slope of 87.5 mV/dec compared to the slope of 75.7 mV/dec of the bigger device.
For the bigger device the donor in the middle of the channel enhances the current by 242, 44 and 11%, respectively, for the three different biases. An increase of the current due to the donor impurity in the middle of the channel is expected due to two factors. Firstly, it reduces the barrier height. Secondly, it introduces states in the middle of the channel which lead to an increase of the tunnelling current. This last effect is also reflected in the degradation of the subthreshold slope. The acceptor impurity in the middle of the channel produces a reduction of the current of 61, 35 and 14%, respectively, for the simulated bias points. Even though the change is more moderate in the subthreshold region, the impact above the threshold voltage is even higher than that of the donor impurity. The results are qualitatively similar for the smaller device, but the impact of both the donor and acceptor impurities increases as expected. The donor impurity produces an increase of the current of 628, 144 and 32%, respectively, for the three biases, which is approximately three times as much as the increases for the bigger device. As in the bigger device, the donor impurity in the middle of the channel degrades substantially the subthreshold slope due to the greatly enhanced tunnelling through the states induced by the impurity in the middle of the channel. The acceptor impurity now leads to a reduction of the current of 63, 50 and 25% for the same three gate biases. The change in the subthreshold region is similar to that of the bigger device, but above threshold the blocking of the current by the impurity is stronger.
[1] T. Chiarella et al., Solid-State Electron. 54, p. 855 (2010)
[2] ITRS. http://www.itrs.net/
[3] M. Aldegunde et al., J. Appl. Phys. 110, p. 094518 (2011)
9:00 AM - R10.06
Transparent Conducting ZnO Nanorods for Nanoelectrodes as a Reverse Tunnel Junction of GaN Light Emitting Diode Applications
Sung Jin An 1
1Kumoh National Institute of Technology Gumi Republic of Korea
Show AbstractWe demonstrated transparent vertical aligned ZnO nanorod arrays for nanoelectrodes as a reverse-tunnel junction of GaN LEDs. GaN LEDs with ZnO nanorod arrays for nanoelectrodes demonstrated four times enhanced light output power compared with that of GaN LEDs with tunnel junction ZnO thin film, resulting from increased light extraction efficiency. Tunnel junction ZnO nanorod arrays for nanoelectrodes offers both high transparent current spreading layer for uniform current injection and easy method for high extraction efficiency.
9:00 AM - R10.07
High Energy Density Electrical Inductors Operating at THz Frequencies Based on Coiled Carbon Nanotubes
Hasan Faraby 1 Prabhakar Bandaru 1
1University of California, San Diego La Jolla USA
Show AbstractOf the three fundamental1 components of electrical circuits, i.e., resistors, capacitors, and inductors, there has been negligible change in the design of the latter over the past forty years. Consequently, inductors, which are integral to a variety of power electronics in radio frequency, microwave, and analog/ mixed signal applications, are widely considered to be expensive and bulky elements, not easily amenable to scaling down at high power and frequency. The purpose of this paper is then to suggest that rationally synthesized coiled carbon nanotubes (CCNTs) may be used for high performance inductors, while maintaining small component footprint. We have synthesized coiled carbon nanotubes (CCNTs)/ nanowires (CCNWs) (the former are defined through a tube-like structure while the latter have a solid core) through rational procedures4 and have observed their potential for a variety of applications such as spring-like mechanical elements for energy dissipation and electronic devices incorporating alternating metallic and semiconductor junctions, etc.
We have then shown enhanced performance characteristics of CCNT inductors, as parameterized through (i) their magnitude (L), (ii) the quality factor, Q ( ~ f L/R) at operating frequencies (f) beyond 1 THz, with R being the parasitic resistance, and (iii) the self resonant frequency, f_SR (~1/LC) with C as the parasitic capacitance. Additionally, comparison with conventional copper based inductors indicates superior performance through using CCNTs.
9:00 AM - R10.08
Characterization of Photoluminescence Properties of InN QDs-Si Nanocomposite Thin Films Prepared by Target-attachment Sputtering Method
Yang-Ru Lyu 1 Yao-Hsu Sun 1 Tsung-Eong Hsieh 1
1National Chiao Tung University Hsinchu Taiwan
Show AbstractIndium nitride (InN) has attracted numerous attentions in recent years due to its unique optoelectronic properties in near-infrared (NIR) wavelength range. However, the bandgap (Eg) of InN remains in debate and InN exhibits an obscure band-to-band emission property at room temperature that leads difficulties in optoelectronic applications. In this study, nanocomposite thin films containing InN quantum dots (QDs) embedded in Si matrix are prepared by target-attachment sputtering method in order to investigate the emission behaviors of InN. By adjusting the sputtering power and the number of InN pellets mounted on Si target, we were able to achieve the InN QDs with the radii ranging from 1.5 to 2.8 nm. Transmission electron microscopy revealed a good dispersion of InN QDs in Si matrix and the QDs are single-crystalline particles with hexagonal crystal structure. X-ray photoelectron spectroscopy confirmed the formation of InN phase in the samples without the presence of metallic In and oxide phases. Room-temperature photoluminescence (PL) characterization of the InN QDs-Si nanocomposite thin-film samples in the wavelength range of 900 to 1600 nm observed a sole emission with peak position at about 1155 nm (~1.08 eV) for all samples. With the knowledge of Eg = 0.66 eV (~1883 nm) for InN and the sizes of InN QDs are far less than the reported Bohr radius (= 15 nm), the distinctive blue shift of PL emission hence implies the presence of quantum confinement effect in all samples. The PL emission emerging at about 1155 nm for all samples regardless of the QD sizes can be ascribed to the pinning effect of conduction band (CB) at the Fermi stabilization level of InN. That is, the quantum confinement effect caused the upward CB shift in InN which is consequently pinned by the Fermi stabilization level. The variation of PL intensity with the incident laser power was also investigated and a linear relationship was observed. This indicates above PL emission is associated with the band-to-band transition (i.e., the direct band transition) in InN. In addition to clarifying the PL characteristics of InN prepared by sputtering method, analytical results presented above indicate that the values of Eg ge; 1.2 eV deduced by the UV-visible spectroscopy are improper for InN since they might be merely the results of the Burstein-Moss effect and/or the degeneracy phenomenon in InN.
Low-temperature PL analysis revealed the emission peak tends to shift to the shorter wavelength side and its peak height drastically increases with the decrease of measurement temperature from 300 to 13K. Such a blue shift phenomenon is ascribed to the Eg enlargement due to the decrease of InN lattice constants in low-temperature environment. Moreover, the temperament decrement was found to eliminate the asymmetry of PL peak by suppressing the band tailing and thermal population effects.
9:00 AM - R10.13
Structural and Optical Characteristics of ZnSSe Nanostructures Grown within Anodic Aluminium Oxide
Rishat Valeev 1 Andrey Eliseev 2 Varvara Valeeva 1
1Physical-Technical Institute of UB RAS Izhevsk Russian Federation2Lomonosov's Moscow State University Moscow Russian Federation
Show AbstractZinc chalcogenides ternary compounds are known as prospective emitters in blue and green region laser diodes with the emission wavelength tunable by variation of ZnS:ZnSe ratio. To improve emission characteristics performed synthesis of ZnS:ZnSe nanostructures within anodic aluminum oxide matrix. This approach enabled us to attain high anisotropy of crystallites needed for quantum confinement effect and isolate emitting centers by dielectric matrix which significantly improves the efficiency of the radiative recombination of excitons at surface defects.
Synthesis of zinc sulfoselenides in Al2O3 matrices was carried out by electrochemical deposition from ZnSO4, Na2S2O3 and Na2SeSO3 solutions and thermal explosive evaporation of ZnS and ZnSe powder mixtures. The stoichiometry of nanostructures was controlled by concentration of reactants in the solution for the electrochemical deposition or mass proportions of ZnSe and ZnS powders, in case of thermal deposition.
The structure and composition of the obtained nanocomposites were studied by X-ray diffraction, Raman spectroscopy and scanning electron microscopy with X-ray microanalysis. Emission characteristics were studied by fluorescence spectroscopy. It is shown that with increasing concentrations of selenium in the compound there is a shift of the luminescence band in the direction of decreasing emittion wavelength, and the presence of the matrix leads to the appearance of additional peaks associated with radiative recombination of excitons at the defects on the semiconductor/matrix interface.
9:00 AM - R10.14
Characteristic of High Quality pn-junction GaN Nanowires Grown Using u-GaN Seed on Si(111) by MOCVD
Ji-Hyeon Park 1 Cheul-Ro Lee 1
1Chonbuk National Univ. Jeon ju Republic of Korea
Show AbstractRecently, the electrical characterization of a hetero-junction n-GaN nanowire/p-Si PN diode prepared by using hot-wall chemical vapor deposition was reported. In another study, ultraviolet photodetector based on single GaN nanorod p-n junctions grown by plasma assisted molecular beam epitaxy (PA-MBE) has been investigated. However, there have been no reports based on the MOCVD grown GaN p-n junction diodes. Herein, we report the synthesis of GaN NWs p-n junctions by using MOCVD. The GaN NWs p-n junction was grown on Si (111) substrates. We performed the experiment with two different flow rates of Cp2Mg for 5 and 7 sccm, respectively. we observed a rectifying behavior and a forward turn-on voltage of about 0.2 and 0.4 V for the Cp2Mg flow rate of 5 and 7 sccm. Field emission scanning electron microscopy, X-ray diffraction, photoluminescence, Cathodoluminescence and high-resolution transmission electron microscopy were used to characterize the specimens.
9:00 AM - R10.15
Structural Evolution of Nickel Doped Zinc Oxide Nanostructures
Navendu Goswami 1 Anshuman Sahai 1
1Jaypee Institute of Information Technology Noida India
Show AbstractMetal oxides nanostructures, in general and ZnO nanostructures, in particular have been an active field of research due to their potential applications in optoelectronics, sensors, photovoltaic and spintronic devices [1-4]. Of late, doping of ZnO nanostructures with transition metals attracted worldwide attention due to significant influence of doping on various vital properties of ZnO nanostructures. In this paper we provide a systematic study on structural evolution of Ni doped ZnO nanostructures (say Ni:ZnO NS) synthesized adopting a chemical precipitation method. The structural, optical, electronic and magnetic properties of prepared nanostructures were extensively investigated. The formation of hexagonal phase of ZnO for all the Ni doped ZnO nanostructures is evident through their characteristic X-ray diffraction patterns [2]. The average crystallite size for 1-10% Ni:ZnO was estimated to be 9.3-27.9nm. An XRD reflection from NiO (200) plane was observed only for 7% and 10% of Ni:ZnO NS [3]. The occurrence of a prominent Raman line at 115cm-1 for all the Ni:ZnO NS further confirmed single phase formation [4]. No additional vibrational modes due to NiO/Ni were detected in the Raman spectra. In accordance with XRD results, HR-TEM images of 1-7% of Ni:ZnO NS vividly exhibit 20nm size particles. Interestingly, formation of nanorods initiates with 7% Ni doping and for 10% Ni:ZnO complete structural transformation takes place resulting in the formation of nanorods (diameter: 21-50nm, length: 215-375nm). FTIR analysis explicates that incorporation of Ni in Zn lattice and formation of Zn-O-Ni linkages paves the way for anisotropic growth of nanorods [1, 4].
References:
[1] N. Goswami and A. Sahai, Mater. Res. Bull., Accepted (2012).
[2] N. Goswami and D.K. Sharma, Physica E, 42, 1675-1682 (2010).
[3] N.M. Ulmane, A. Kuzmin, I. Steins, J. Grabis, I. Sildos and M. Pars, J. of Phys.: Conf. Series, 93, 012039-012043 (2007).
[4] R. Elilarassi, G. Chandrasekaran, Mats. Chem. and Phys, 123, 450-455 (2010).
9:00 AM - R10.16
Ultrafast Laser Induced Growth of ZnSe Nanoparticles on ZnSe Surfaces
Michael Joseph Abere 1 Dylan Rittman 2 Ryan D Murphy 3 Chihyu Chen 4 Jamie D Phillips 4 Ben Torralva 5 Steven M Yalisove 1
1University of Michigan Ann Arbor USA2University of Michigan Ann Arbor USA3University of Michigan Ann Arbor USA4University of Michigan Ann Arbor USA5University of Michigan Ann Arbor USA
Show AbstractThe interaction between a high intensity ultrafast laser and epitaxial ZnSe films on GaAs was studied as a means to nanostructure the ZnSe surface. Samples were irradiated with multiple shots using frequency double light (lambda;=390nm) from a Ti:Sapphire laser with 1kHz rep rate and a 150 fs pulse duration. Irradiation produced nanoparticles on the semiconductor surface with circular cross section. Particles were characterized by a combination of SEM and cross sectional TEM. Average particle size and particle density is controlled by the local fluence of the laser pulse. A physical model for the formation of these structures will be discussed.
9:00 AM - R10.17
Element Analysis: From Nanostructures Down to the Atomic Scale
Meiken Falke 1
1Bruker Berlin Germany
Show AbstractAlthough semiconductors have a wide range of applications already, a vast variety of new potential applications is under rapid investigation, particularly using specific materials on the nanoscale and in small amounts. To be able to successfully design and develop new nanoscale semiconductor structures, a suitable fast analysis method is essential. Nanoscale structures and compounds including just a few atomic percent of a particular element can be evaluated using electron microscopy combined with energy dispersive X-ray spectroscopy (EDS). Modern silicon drift detector (SDD) based EDS became a convenient powerful and more and more accepted tool for chemical analysis reaching the atomic level. Examples of chemical composition analysis of various semiconductor nanostructures will be shown. Those include SiGe multilayers, ALD coated carbon nanotubes for flexible interconnects in flip chip assemblies, III-V-based structures analyzed on the nano- and atom column scale [1], InAs nano-rods with nm-layers rich in Phosphorus used for single-electron transistors and ZnTe/CdTe nanowires. Furthermore, we demonstrate options for quantitative analysis of nanoscale mixtures including light elements such as SiC, BN and Si3N4 and the routine quantification of silicide structures, e.g. CrSi/CrSi_2 thin films on Si and e.g. 2 at% Pt alloyed NiSi thin films on Si.
Even the identification of single Si and Pt atoms on graphene is now possible using EDS in an aberration corrected cold FEG transmission electron microscope [2]. The use of multiple SDD-EDS detectors increases analysis speed [3] and improves analysis geometry avoiding shadowing effects in complicated structures. Thus, modern EDS can help to solve challenging nano-analysis problems in a reasonable amount of time, sample preparation and stability provided.
[1] M. W. Chu et al., Phys. Rev. Lett. 104, 196101 (2010)
[2] T. C. Lovejoy et al., Appl. Phys. Lett.100, 154101 (2012)
[3] S. von Harrach et al., Microsc Microanal 15 (Suppl. 2)(2009) 208 and Schlossmacher et al., Microscopy Today 18(4) (2010) 14-20.
9:00 AM - R10.18
Characterization of Ternary ZnXCd(1-X)S Nanocrystals
Janelle Leger 1 2 Paul Michael Cochran 1 2
1Western Washington University Bellingham USA2Western Washington University Bellingham USA
Show AbstractQuantum dot nanocrystals are desirable for potential applications in light emitting devices due to their high fluorescent efficiencies, narrow emission peaks, and size-tunable band gaps. However, typical binary nanocrystals, such as CdSe, have a minimum emission wavelength of around 500nm, which limits their utility in blue and white light emitting devices. Composition-tunable ternary nanocrystals have been reported with low wavelength relative to their binary counterparts. While the synthesis and structure of these materials is well known, utilization in devices depends upon our ability to tune and predict their optical properties. Here we determine predictive relationships between emission peak wavelength, particle diameter, and cation ratio for ternary ZnXCd(1-X)S nanocrystals in order to determine concentrations and improve FQY through shell growth; facilitating their use in a wide range of optical technologies.
9:00 AM - R10.19
Structured Single Ion Implantation in FinFETs
Michael Ilg 1 2 Christoph Weis 1 2 Ivo Rangelow 2 Thomas Schenkel 1
1Lawrence Berkeley National Laboratory Berkeley USA2Technical University Ilmenau Ilmenau Germany
Show AbstractTo physically realize donor spin qubits in silicon or to produce a defined distribution in the conduction channel of nanoscale semiconductors, dopants have to be placed with an positional accuracy of <100nm and a defined, low number of ion implantations.
We have demonstrated ion implantation with scanning probe alignment and applied it e. g. to the placement of nitrogen-vacancy centers in diamond. (1)
The same technique is used to implant dopants into silicon nanowire devices, where the ion impacts cause large steps in the drain source current either through gate voltage changes by positively charged defects in the oxide layer or through mobility degradation by the accumulation of lattice damages in the silicon layer. Sensing of upsets in the device current allows the counting of single, low energy ions .Range straggling limits the position accuracy of single ion placement and range straggling decreases with lower implantation energy and higher projectile mass. Bismuth donors in silicon are promising candidates for a spin qubit architecture due spin coherence protection at selected “clock transitions”. Range straggling is minimal for bismuth, enabling high placement accuracy for bismuth donors in silicon, which is required e. g. for coupling of donors to quantum dots (2, 3)
In our presentation we will present results from single ion placement with scanning probe alignment . Silicon nanowire devices are non-invasively imaged in-situ in high vacuum with a non-contact SFM, the ion beam is aligned to the desired implantation area and we monitor implantation of single Bismuth ions into the device during the formation of selected single dopant placement patterns.
(1)C.D. Weis et al., Single atom doping for quantum device development in diamond and silicon, J Vac Sci Technol B, 26, 2596 (2008)
(2)T. Schenkel, A spin quantum bit architecture with coupled donors and quantum dots in silicon, arXiv:1110.2228v1 (2011)
(3)C.D. Weis et al., Electrical activation and electron spin resonance measurements of implanted bismuth in isotopically enriched silicon-28. Appl Phys Lett 100, 172104 (2012)
9:00 AM - R10.20
Morphologies of Germanium Manufactured by Focused-ion-beam Irradiation
Jin-Chian Yang 1 Chung-Jen Chung 2 Kun-Dar Li 1
1National University of Tainan Tainan Taiwan2National Cheng Kung University Tainan Taiwan
Show AbstractThe focused-ion-beam (FIB) has multi-functions and many applications in the academic researches and industrial manufactures, such as milling, shaping, and repairing. In this study, various experiments with different ion-bombardment parameters were designed to investigate the influences on the substrate surface morphologies. The gallium ions were used to irradiate on germanium (100) surface. After ion beam irradiation with 30 keV and 10 keV of gallium ions, different kinds of morphologies were formed on the Ge surface. For 30keV ion energy, a mixed nanostructure of nano-fibers and nano-walls was formed. With a 10 keV ion irradiation, a nanostructure of nano-pillars was obtained. While the current density was increased with a high dose rate, a similar morphology of nano-pillars was still presented for an ion energy of 10 keV, but the etching rate of surface morphology was increased. From these experimental results, it was observed the irradiated surface morphology of germanium was mainly influenced by ion energy, while the current density altered the etching rate of the irradiation process.
9:00 AM - R10.21
Quantitative Evaluation on the Nanostructures by Ion Irradiation
Shun-Kai Hsu 1 Zhong-Long Chang 1 Shu-Jui Chang 1 Kun-Dar Li 1
1National University of Tainan Tainan Taiwan
Show AbstractDuring this decade, more and more experiments of ion beam irradiation combined with theoretical simulations were conducted to create self-organized nanostructures. Various nanostructures, such as nano-holes, nano-walls and nano-fibers, can be developed with different process parameters. In this study, the imaging analysis was used to quantitatively evaluate the characters of the nanostructures, which were simulated by a theoretical model of ion irradiation. We established the relationship between the process parameters and the surface nanostructures. According to the results, the size of nano-fibers is highly correlated with the redeposition rate, while the density of nano-holes is directly connected to the mobility and the dose rate of ion beam irradiation. Additionally, the appearance of nano-holes would become more round when the recombination rate was increased. Under a certain condition, the voids would be elongated in the shape with a high mobility and dose rate.
9:00 AM - R10.22
Emission Color Tuning of Ge Nanoparticles in the Ranging from UV through Visible to near-IR
Naoto Shirahata 1
1National Institute for Materials Science Tsukuba Japan
Show AbstractThe emergence of solution processed group IV nanoparticles has offered a prospective research scenario to achieve the improved performance of light emitters for optoelectronic device and biomedical applications.1 In particular, the nanoparticles of crystalline Si and Ge offer a number of advantages for the industrial use because of their high compatibilities with current microelectronics and inherent non-toxicities against environment surrounding us and human health; however, the element investigated is weighted in Si compared to Ge.
The distinctive advantages of Ge over Si for optical applications are the smaller effective mass of its electron-hole (e-h) pairs and its larger static dielectric constant. Effective mass is inversely related to the bulk exciton Bohr radius, so the quantum confinement (QC) effect appears at a larger dimension for Ge (~24 nm) than Si (~10 nm).2 A further advantage of Ge is its narrow bulk bandgap (0.67 eV at 300 K), suggesting the possible tuning of light emission over as much as 3.3 eV, corresponding to wavelengths from near-UV through visible to near-IR (~1500 nm).
In the present study, it is revealed for the first time that rigorous control over size and surface of germanium nanoparticles allows the fine color-tuning of efficient fluorescence emission in the very wide wavelength range.3-5 The spectral linewidths of each emission were very narrow. Furthermore, the absolute fluorescence quantum yields of each emission were high enough to be used as fluorescence labeling tags. Another scientific impact is the finding of new family of luminescent Ge.3 Such superior properties of fluorescence were, respectively, observed from the fractions separated from one mother Ge nanoparticle sample by fluorescence color using our developed combinatorial column technique.3,4 It is commonly believed that a broad spectral linewidth frequently observed from Ge nanoparticle appears due to an indirect bandgap nature inherited even in nanostructures, but the present study argues that such a broad luminescence spectrum is expressed as ensemble of different spectral lines, and can be separated into the fractions emitting the lights in each wavelength region by the appropriate postsynthetic process.
9:00 AM - R10.23
Magnetic Properties and Spin Transport in Hybrid Boron-nitrogen-carbon Nanoribbons with Transitional Metal Impurities
George Alexandru Nemnes 1 Tudor Mitran 1 Adela Nicolaev 1 Camelia Visan 2 Lucian Ion 1 Stefan Antohe 1
1University of Bucharest Magurele - Ilfov Romania2Horia Hulubei National Institute of Physics and Nuclear Engineering - IFIN HH Magurele - Ilfov Romania
Show AbstractWe investigate the spin filtering effects in graphene nanoribbons,
where inclusions of hexagonal boron nitride were
introduced together with substitutional transitional metal impurities.
The embedded boron nitride regions with magnetic impurities serve as
quasi-0D islands of diluted magnetic semiconductor in the otherwise metallic
graphene nanoribbon.
Embedding hexagonal boron nitride islands into graphene,
which is a wide band gap semiconductor, drastically
affects the conduction properties of the monoatomic
layer, enhancing the possibility of a gate control.
Moreover it was established recently [1] that boron nitride
sheets with substitutional manganese impurities can be
a strong candidate for future low dimensional diluted
magnetic semiconductors.
Our first principle approach based on non-equilibrium Green&’s functions gives the polarization
of the spin current for different structures
and biases [2]. Several spin configurations of the magnetic impurities are considered,
revealing different behaviors in
the spin resolved current. Some key aspects regarding spin switching effects,
i.e. the turning on and off the net spin
current at different biases, are also discussed.
The experimental
availability of the building blocks -- hybrid boron-nitrogen-carbon (BNC) materials -- as well
as the magnitudes of the obtained spin current polarizations indicates a strong potential
of the analyzed structures for future spintronic devices.
We further investigate the influence of the Rashba spin-orbit coupling on the spin current
due to an external field, as in Ref. [3]. However here we adopt the atomistic perspective
using density functional theory calculations. We therefore indicate the spin transistor
functionality of the considered nanoribbons made of BNC hybrid materials.
[1] T.L. Mitran, Adela Nicolaev, G.A. Nemnes, L. Ion, S. Antohe,
J. Phys.: Condens. Matter 24, 326003 (2012)
[2] G.A. Nemnes,
Journal of Nanomaterials, 748639 (2012); doi:10.1155/2012/748639
[3] G.A. Nemnes, A. Manolescu, V. Gudmundsson,
J. Phys.: Conf. Series 338, 012012 (2012)
9:00 AM - R10.24
Nanostructured Amorphous Silicon on Metal Electrodes: Electrical and Optical Properties
George Anthony Hernandez 1 Daniel Martinez 1 Michael Hamilton 1
1Auburn University Auburn USA
Show AbstractAmorphous silicon (a-Si) has been a widely studied material and this is attributed to its large area, low temperature deposition and processability on diverse substrates such as glass and plastic. This ease of processability has led to the development of large area amorphous silicon thin film transistor-based circuits (for flat panel displays), photovoltaics, and optoelectronics. Due to the demonstrated impact of amorphous silicon in these applications, we have investigated the fabrication and electrical/optical properties of nanostructured amorphous silicon.
We have fabricated needle-like, nanoscale features on amorphous silicon using the Bosch process. By varying the etchant and passivation times, we are able to nanostructure amorphous silicon to obtain needles with varying geometries. The resulting surface features lead to an optically black appearance over patterned or large areas. This is a result of the interspacing between each needle, and the high optical absorption of this material. Thus, we designate it as black amorphous silicon (b-a-Si). We have deposited and formed regions of b-a-Si on variety of insulating films and metal electrodes, including nickel/chrome and titanium. The successful fabrication of nanostructured b-a-Si in electrical contact with an underlying metal electrode opens up possibilities for use of this new material in large area displays, photovoltaics, re-workable packaging, and sensors.
We have studied and will present our work on the electrical and optical properties of black amorphous silicon. Our application studies on b-a-Si have focused on the fabrication of an inexpensive ionization-based gas sensor. Miniaturized gas sensors based on aligned carbon nanotubes and zinc oxide nanowires have been previously shown to reduce the breakdown voltage of gases. Studies have suggested that a non-uniform electric field is created at the surface of these nanostructures that serve as the cathode.
In this talk, we will describe the fabrication process for forming b-a-Si on metal surfaces, as well as the design and performance of micro-sensors based on this material. In addition, we will discuss relevant material properties such as surface roughness and grain structure of deposited a-Si and b-a-Si. We also compare the differences in optical and electrical properties of a-Si and b-a-Si.
9:00 AM - R10.25
Self-energy Models for Scattering in Semiconductor Nanoscale Devices: Causality Considerations and the Spectral Sum Rule
John R Barker 1 Antonio Martinez 2
1University of Glasgow Glasgow United Kingdom2Swansea University Swansea United Kingdom
Show AbstractIn the past 10 years there has been significant progress in the modelling of nanoscale devices using the Keldysh-Kadananoff-Baym Non-Equilibrium Green Function (NEGF) computational methodology. Unfortunately, the NEGF method is computationally expensive and perturbative methods are required to handle scattering. Approximate self energies are used especially to implement electron-phonon elastic/inelastic scattering. In order to save computation time in the simulations of nanotransistors, only the imaginary part of the self-energy is usually calculated. This leads to an under-estimation of the density of states. The real part is sometimes calculated from the Hilbert Transform of the imaginary part by assuming the Kramers-Kronig (KK) dispersion relations for the self-energy. In the present paper we show that both these procedures may erroneously lead to a strong violation of the sum rule for the electronic spectral function and a consequent distortion from the true (or intended) electronic density of states. Indeed, model self-energies leading to spectral sum rule violations have been reported in detail [1] without any explanation. Violation of the sum rule has often been associated tacitly with the idea that additional “undiscovered” components of the total self-energy would restore the sum rule. The origins of this problem arise from the need for a rigorous formulation of the causality or antecedence principle. The usual proof of the spectral sum rule follows from examining the exact representation of the Green function in the Lehmann representation. Here, we present an alternative proof based on causality: for a given model self energy Σ provided that the product ΣG is a causal transform (where G is the full Green function), then the spectral sum rule is true. We use this approach both analytically and numerically to examine a generic and comprehensive range of reported self-energy models that satisfy standard KK relations but which lead to strong violations of the spectral sum rule (and violating the Kohler conjecture [1]. It is shown that in each case the model self-energy deviates from the conditions of strict physical antecedence required by a causal transform as required by the Titchmarsh Theorem [2]. In addition, there are other model self-energies satisfying KK relations which are not strictly causal transforms but which may nevertheless lead to the correct sum rule. In all these cases, there is a rigorous procedure for constructing model self-energies with a wide range of useful asymptotic behaviour that preserve the sum rule at the expense of satisfying more generalised dispersion relations than a simple Hilbert transform pair.
[1] H.S. Kohler, Physical Review C46, 1687 (1992), R. Zeinstra et al J. of Mathematical Sciences, 150, 1799 (2008); J. S. Toll, Physical Review 104, 760 (1956);
[2] E.C. Titchmarsh, Theory of Fourier Integrals (1948)
9:00 AM - R10.26
Group IV Nanostructures as Sensitizers for Er3+ Ions through Contact Coupling
Javier Martin-Sanchez 1 Rosalia Serna 1 Ivan Camps 1 Johann Toudert 1
1Instituto de Optica, CSIC Madrid Spain
Show AbstractSemiconductor nanostructures (NSs) have been widely studied during the last two decades due to their unique size dependent properties for practical applications in optoelectronics, non-volatile memories and solar cells [1]. Specifically, Si and Ge have received most of the attention mainly because of their compatibility with state-of-the-art silicon technology. The interest in Ge NSs has been recently increasing due to their relevant physical properties such as near-direct band-gap, high band-gap sensitivity to NPs size variations and large carrier mobility compared to Si. Although the use of Si NSs as sensitizers for enhanced optical emission of rare-earth Er3+ ions at wavelengths around 1.54 mu;m has been demonstrated and is well established, fundamental studies about the sensitizing properties of Ge and SiGe NSs are rarely reported. Moreover, some reports in the literature about Ge-Er3+ energy transfer process are very controversial, and some of them even suggest the occurrence of a favorable energy back transfer from Er 3+ to Ge NSs.
In a previous work, we have demonstrated that maximized Er3+ infrared photoluminescence (IR-PL) enhancement by amorphous Si NSs takes place when sensitization occurs through contact coupling between the rare-earth and semiconductor. [2] In the present work, the Er3+ sensitization and Er3+ IR-PL enhancement by amorphous Ge and SiGe NSs through contact coupling are also demonstrated. Multi-layer [NSs / amorphous aluminium oxide (10 nm)] structures were prepared by pulsed laser deposition at room temperature. The NSs effective thickness has been varied from in order to modify its morphology, including the formation of nanoparticles, and to tune the electron confinement properties. Er3+ ions were deposited directly on top of the NSs with an areal density of about 1013 at/cm2. Correlation between the degree of quantum confinement in the NSs and the Er3+ IR-PL enhancement is demonstrated. Finally, the efficiency of Ge and SiGe NSs as Er3+ sensitizers will be compared to that of Si NSs.
[1] J. Martín-Sánchez et al. J. Nanop. Res. 14 (2012) 843.
[2] S. Nuacute;ñez-Sánchez, P.M. Roque, R. Serna et al. Appl. Phys. Lett. 98 (2011) 151109.
9:00 AM - R10.27
Adhesive Patterning of Nano-scale Electronics for High Speed Electronics
David Beesley 1 Thomas Anthopoulos 1 John De Mello 2
1Imperial College London United Kingdom2Imperial College London United Kingdom
Show AbstractDownscaling of electronics to the nanometre has occurred through the continued advancement of photo lithography. Photo lithography is however nearing it's optical diffraction limit and increasingly researchers are looking for the next generation of fabrication technologies to take us below the 20nm critical feature dimensional barrier found in the latest generation of photo lithography. A variety of alternate printing and stamping techniques have been developed to fabricate such nano-scale electronics. Unfortunately most printing and stamping fabrication techniques do not process the requirements of reproducibility and speed compared to established photo lithography techniques thus limiting their impact. As such a new subtractive adhesion based fabrication technique has recently been developed at Imperial College which overcomes many of the shortcomings found in other technologies.
The technique, dubbed adhesion lithography, is a newly developed fabrication methodology capable of creating well defined nanostructures via the control of adhesion forces between thermally evaporated metal electrodes. We are able to produce sub 20nm gaps over large areas with remarkable ease and reproducibility - surpassing almost all variants of soft lithography techniques in terms of feature size, ease of processing and scalability. Adhesion lithography does not use electron beams or other direct write techniques and is highly scalable to large area processing.
Adhesion lithography works on the principle of selectively controlling the adhesion between metal layers using low adhesion self-assembled monolayers (SAMs). We have found that it is possible to remove one thin film from another through the application of an intermediate adhesive force (i.e. a force higher than the SAM adhesion, but lower than that of the substrate). Using this principle it is possible to selectively pattern features once a simple master electrode has been formed with remarkable ease.
To confirm the ability of adhesion lithography to produce functional electronic devices we have fabricated ultra-fast diodes and transistors using a verity of organic and inorganic materials.
9:00 AM - R10.28
Temperature-dependent Electron Transport in Si and Ge Nanoparticle Photovoltaics
Derek Padilla 1 Carena P Church 1 Elayaraja Muthuswamy 2 Susan Kauzlarich 2 Sue A Carter 1
1University of California, Santa Cruz Santa Cruz USA2University of California, Davis Davis USA
Show AbstractWe have studied both silicon and germanium nanoparticle-based photovoltaic devices fabricated in a layered structure via spin-coating of the colloidal Si or Ge solution. We previously reported on temperature effects on PbS quantum dot photovoltaics, wherein the capping ligand&’s thermal properties were shown to have significant effects on device performance. Here, we examine pyridine and hydrazine as the capping ligands in these group IV quantum dot devices, with similar ligand-dependent thermal effects seen. Current-voltage (I-V) measurements carried out at temperatures from 100 to 360 K under dark conditions were fit to the ideal diode equation revealing the electron transport mechanism, with the temperature dependence of fit parameters matching transport models. The illuminated I-V data in this range of temperatures provide insight into each device's built-in potential, carrier mobility, and activation energy. In addition to temperature-dependent variations of device parameters, modulating the illumination intensity gives the ideality factors of the solar cells. We show how these variations with temperature and light-intensity can be used to increase device performance in future studies.
9:00 AM - R10.29
Monte Carlo Modeling of Charge Transport in Nanocrystalline PbSe Films
Ian Anthony Carbone 1 Gergely Zimanyi 2 Sue Carter 1
1University of California, Santa Cruz Santa Cruz USA2University of California, Davis Davis USA
Show AbstractThe electrical properties of three-dimensional nanocrystalline (NC) PbSe materials are of particular interest for next generation solar energy technologies. With size-tunable optical and electronic properties, solution processability, and the potential of multiple exciton generation, NC PbSe films represent a class of potentially cost-effective and efficient solar cell materials. Two models, a multiple trapping random walk (MTRW) and a hopping model, were developed to simulate electron and hole transport in films of PbSe nanocrystals crosslinked with ethane dithiol ligands. Here we have recreated the conditions for field-effect transistor measurements; however, this Monte Carlo code could easily be adapted to model solar cell current-voltage characteristics, photodetectors, and variety of experimental conditions and device structures. In both simulations, films are represented by a regular cubic lattice, transport is carried out as a series of hopping events between neighboring nanoparticles, and energetic states are determined by the particle size of the PbSe nanocrystals. We find that the hopping model represents a simpler parameter set and provides a better match to experimental measurements than the MTRW model. This presentation will discuss the two transport mechanisms and the effects of particle size, energetic disorder, and coulomb blockade effects on electron and hole mobilities.
9:00 AM - R10.30
Modeling and Fabrication of Quantum Dot Channel Field Effect Transistors Incorporating Quantum Dot Gate
Jun Kondo 1 Murali Lingalugari 1 Pik-Yiu Chan 1 Evan Heller 1 Faquir Jain 1
1University of Connecticut Storrs USA
Show AbstractThis paper aims at studying the effect of incorporating cladded quantum dot layers in the gate region of a quantum dot channel (QDC). Independently, a quantum dot gate (QDG) field effect transistor (FET) produces 3-state transfer characteristics. QDC-FETs are expected to show multi-state operation due to the quantum dot superlattice (QDSL) formed in an array of cladded quantum dots such as SiOx-Si and GeOx-Ge. Multi-state FETs are needed in multi-valued logic (MVL) that can reduce the number of gates and transistors in digital circuits. This paper describes fabrication and modeling of the QDC-QDG FET which consists of two layers of cladded quantum dots in the gate as well as in the channel regions. For example, thin-barrier (~1nm) SiOx cladded Si quantum dots (3 nm to 4nm) form an array which behaves as a quantum dot superlattice (QDSL). This superlattice has energy mini-bands that are very narrow and separated with larger energy in conventional superlattices. The Kronig-Penney model was used to determine the energy band locations and energy band width. The simulation used a self-consistent solution of Schrodinger and Poisson&’s equations. The fabricated QDC-QDG FET was tested for multi-state characteristics. For the ID-VG characteristic measurements, VD of 0.5 and 1.5 volts were used. When VD was equal to 0.5 volts, one ID current peak was observed at 0.2 volts VG. When VD was equal to 1.5 volts, three ID current peaks were observed at -0.45 volts, -0.2 volts and 0.55 volts VG. This phenomenon corresponded to the multi-state characteristics (low-intermediate 1-intermediate 2-intermediate 3-high). Therefore, when VD was increased, the number of ID current peaks and the corresponding number of states increased. The multistate characteristics were explained using models of energy band diagrams across the gate, source and drain.
9:00 AM - R10.31
Surface Enhanced Raman Spectroscopy Using Silicon Nanowire Architectures
Yuan Li 1 2 Nitin Chopra 1 2
1The University of Alabama Tuscaloosa USA2The University of Alabama Tuscaloosa USA
Show AbstractSurface enhanced Raman spectroscopy (SERS) has been considered to be one of primary techniques in molecular detection, which can be achieved by optimally exciting the surface plasmons (hot spots) of noble metal nanostructures (e.g., gold nanoparticles). An important aspect is achieving ideal hot spots by manipulating the distance between gold nanoparticles, where overlapping electromagnetic fields lead to best Raman signal of a chemical species adsorbed on the nanoparticle surfaces. Thus, a promising approach is to load gold nanoparticles onto 1-D nanostructures such as silicon nanowires. The latter nanowires are also exciting for electronics/optoelectronics and chemical sensing applications. Here, we study the growth of silicon nanowires in a chemical vapor deposition (CVD) method employing silane/hydrogen as precursors and gold film as a nanowire growth catalyst. We fundamentally understand the growth mechanism of the silicon nanowires and the surface migration of catalytic gold nanoparticle as a function of CVD growth parameters (precursor concentration, flow rates, growth time, and temperature). Different architectures of silicon nanowires are produced such as branched, standing, and horizontally residing on the substrates. The nanowires are characterized by high resolution microscopy and spectroscopy. These silicon nanowires with attached gold nanoparticles on it by virtue of CVD growth are further studied for SERS effect using Raman dyes. The Raman enhancement as high as 10,000 in the dye signals are observed as compared to blank silicon substrate. Such sensitive Raman nano-architectures are critical for scalable chemical sensing devices with high sensitivity and improved detection limits.
9:00 AM - R10.32
Solution-processed ZnO Nanocrystal Field-effect Transistor with Modified Inter-dot Characteristics
Jungwoo Kim 1 Heeyeop Chae 2 Hyoungsub Kim 1
1Sungkyunkwan Univ. Suwon Republic of Korea2Sungkyunkwan Univ. Suwon Republic of Korea
Show AbstractZnO nanostructures have received a great attention in several application areas, such as optics, photonics, and electronics, due to their unique properties [1]. However, the ZnO nanocrystal-based field-effect transistors (FETs) have shown relatively inferior performance in comparison to the Cd or Pb-based nanocrystal devices. For a further enhancement of the ZnO nanocrystal FET performance, it is important to improve the inter-dot characteristics by filling the gaps between dots or passivating the surface defect states. Recently, the performance of the solution-processed, nanocrystal-based FET devices was greatly boosted by modifying the nanocrystal surfaces; for example, CdSe nanocrystal-based FETs have demonstrated a high field-effect mobility of over 20 cm2/Vs by a ligand exchange mechanism and indium treatment [2].
In this presentation, we studied the inter-dot filling and passivating effects of Zn-nitrate-based solution containing several dopants on the ZnO nanocrystal FET performance, when ion-gel was used as a top-gate dielectric layer. The FET characteristics will be compared with the devices without the gap filling/passivating materials and the detailed mechanism for the improved electrical characteristics will be discussed.
The ZnO nanocrystals were synthesized via a hydrolysis reaction route using zinc acetate dehydrate, potassium hydroxide, and methanol, and dispersed in various solvents. For the modification of the inter-dot characteristics, the ZnO nanocrystal-dispersed solution was mixed with Zn-nitrate solutions mixed with several dopants and spin-coated on the SiO2-coated Si substrates. After annealing at various temperatures, UV-cross-linkable ion-gel precursor was drop-casted and patterned by UV light. Finally, the transistor characteristics were evaluated after forming Ti-Au source and drain electrodes.
[1] Z. L. Wang, J. Phys.: Condens. Matter. 16, R829 (2004).
[2] J.-H. Choi, A. T. Fafarman, S. J. Oh, D.-K. Ko, D. K. Kim, B. T. Diroll, S. Muramoto, J. G. Gillen, C. B. Murray, and C. R. Kagan, Nano. Lett. 12, 2631 (2012).
9:00 AM - R10.33
Effect of Crystal Size on the Structural and Functional Properties of Bare and M-doped ZnO, (M= Fe or Co), Monodispersed Nanoparticles
Yesusa Collantes 1 Jean De Armas 2 Oscar Perales-Perez 3 Maxime Guinel 4
1University of Puerto Rico-Mayaguez Mayaguez USA2University of Puerto Rico-Mayaguez Mayaguez USA3University of Puerto Rico-Mayaguez Mayaguez USA4University of Puerto Rico-Rio Piedras San Juan USA
Show AbstractZinc oxide (ZnO) nanoparticles (NPs) are being widely studied because of their unique physico-chemical properties and subsequent potential applications in biology and medicine, including tissue imaging, diagnosis and cancer therapy. The possibility to enhance and/or tune the functional properties through doping of ZnO NPs, have also been the matter of different reports; however, a detailed study of the dependence of the functional properties of ZnO NPs as a function of the crystal size and composition at the nanoscale has not been properly addressed yet. In our research, we have synthesized bare and M-doped ZnO NPs (M= Fe or Co ions) in polyol medium. The control on crystal size was attempted at 180#9675;C by monitoring the heating rate of reacting solutions and the cooling rate (quenching) at the end of the reaction time. The Fe and Co concentration varied in the 0-2 at.% range. The possibility to promote crystal growth by heterogeneous nucleation is also evaluated; in this approach, pre-synthesized 5-nm pure and doped ZnO nanocrystals are used as seeds that will be dispersed in the Zn-polyol solutions at suitable seeds w/w ratios. As-synthesized samples were characterized by X-ray diffraction (XRD), Fourier Transform Infrared spectroscopy (FT-IR), Photoluminescence spectroscopy (PL) and Vibrational Sample Magnetometry (VSM). XRD measurements confirmed the formation of well crystallized wurtzite ZnO with absence of secondary phases in bare and doped samples. The average crystallite size was estimated at 5.2 ± 0.6nm and 8.4 ± 0.3 nm for bare ZnO NPs with and without quenching treatment, respectively. FT-IR analyses evidenced the presence of organic moieties on the surface of the nanoparticles that are associated to the functional groups of polyol by-products; these adsorbed species would have prevented particles from aggregation. PL measurements (excitation wavelength 345 nm) for bare and doped ZnO NPs without quenching exhibited only the excitonic emission in the UV region; quenching of the samples induced the co-existence of a strong band in the visible region. The intensity of the emission peaks in non-quenched Fe- and Co-doped ZnO NPs was higher than in pure ZnO NPs. VSM measurements evidenced a weak but noticeable ferromagnetic response at room temperature in doped samples; the coercivity values for non-quenched Fe-doped ZnO NPs and Co-doped ZnO NPs were in the ranges 73-123 Oe and 10-18 Oe respectively.
9:00 AM - R10.34
Selective Area Growth of Indium Phosphide Nanopillars on Amorphous Silicon Segments Patterned by Nanoimprinting Lithography
Kate J. Norris 1 2 Steven Barcelo 3 Junce Zhang 1 2 David M. Fryauf 1 2 Gary Gibson 3 Zhiyong Li 3 Zhang-Lin Zhou 3 Shih-Yuan Wang 3 Nobuhiko P. Kobayashi 1 2
1University of California Santa Cruz Santa Cruz USA2Advanced Studies Laboratories, Univ. of California Santa Cruz - NASA Ames Research Center Moffett Field USA3Hewlett-Packard Laboratories Palo Alto USA
Show AbstractFabrication of an array of nanometer-scale semiconductor devices, such as photodetectors or chemical sensors, often requires specific geometrical placement of semiconductor structures with high areal density. In addition, such arrays frequently need to be directly fabricated on a non-single crystalline substrate such as glass or poly-crystalline metals. In this paper, we highlight our recent progress in developing a unique material platform based on single-crystal semiconductor nanometer-scale pillars (nanopillars) selectively grown on an array of amorphous silicon segments patterned on amorphous silicon dioxide and poly-crystalline copper. In this demonstration, we chose indium phosphide (InP) as a material for the nanopillars and used deep UV and nanoimprint lithography to define an array of amorphous silicon segments on which InP nanopillars were selectively grown (i.e., Selective Area Growth, SAG). SAG of InP nanopillars was achieved by metal organic chemical vapor deposition (MOCVD) with trimethylindium and ditertiarybutylphosphine in hydrogen carrier gas. MOCVD growth conditions were varied to study their effect on the SAG InP nanopillars&’ structural, chemical, and optical properties, which were characterized using scanning electron microscopy, transmission electron microscopy, energy dispersive x-ray spectroscopy, x-ray diffractometry, and photoluminescence spectroscopy. This analysis revealed a strong dependence of the SAG InP nanopillars&’ growth rate and morphology on pressure, temperature, and molar flow rate and defined a large window of growth conditions that allow the SAG of InP nanopillars. Due to the low cost and flexibility of nanoimprint lithography and MOCVD, this approach is not limited to the unique material system used here. Our approach enables flexible and scalable nanofabrication, using industrially proven tools, of a wide range of devices that require nanometer-scale location control of high quality semiconductors.
9:00 AM - R10.35
Redox Buffer Doping of Semiconductor Nanocrystal Films
Jesse Engel 2 1 Yogesh Surendranath 1 3 Paul Alivisatos 2 1
1UC Berkeley Berkeley USA2Lawrence Berkeley Laboratory Berkeley USA3UC Berkeley Berkeley USA
Show AbstractThe efficient implementation of semiconductor nanocrystals for optoelectronic applications has been impeded by the lack of precise control over dopant concentrations. Redox buffers allow for the controlled doping of nanocrystal solids under equilibrium conditions. Exposing lead selenide nanocrystal thin films to solutions containing varying proportions of decamethylferrocene and decamethylferrocenium, incrementally and reversibly increases the carrier concentration in the solid by two orders of magnitude from their native values. Further applications and limitations of this new technique to precisely control of majority carrier concentration in porous semiconductor thin films will be discussed.
9:00 AM - R10.37
Atomistic k.p Theory for Nanostructure Modeling
Craig Pryor 1 Mats-Erik Pistol 2
1University of Iowa Iowa City USA2Lund University Lund Sweden
Show AbstractAs usually implemented, k.p theory or envelope function theory is insensitive to atomic scale structure. We show that this does not need to be the case, and construct an atomistic k.p/envelope function theory. The resulting model is effectively a tight binding model parameterized by the momentum matrix elements between Bloch functions. This is advantageous for nanostructure modeling because it provides an atomistic model in which the band structure is directly parameterized in terms of physical matrix elements rather than by ad hoc fitting.
9:00 AM - R10.38
Atomic-scale Characterisation of Semiconducting Nanostructures in Spherical and Chromatic Aberration-corrected Transmission Electron Microscopy
Lothar Houben 1 Daniel G. Stroppa 1 Shai Mangel 2 Erga Shalev 2 Maya Bar Sadan 2
1Forschungszentrum Juelich GmbH Juelich Germany2Ben Gurion University of the Negev Beamp;#8217;er Sheba Israel
Show AbstractNanocharacterisation in transmission electron microscopy (TEM) has seen an enormous boost during the last decade because of the formidable advancement of resolution and chemical sensitivity due to aberration-correction. In this contribution the atomic-scale chemical composition and local optical response of semiconducting nanostructures such as catalytically active layered MoS2, functionalised nanotubes and CdSe/CdS core-shell particles is examined using chromatic aberration-corrected transmission electron microscopy and electron energy loss imaging with Ångström resolution. Single dopant and impurity atom detection are discussed. High resolution imaging techniques are used to analyse surface reconstruction and crystallographic defects. Special emphasis is put on low voltage characterization that is not limited by knock-on beam damage and low contrast.
9:00 AM - R10.40
Enhanced Response in InAs Quantum Dot in a Quantum Well Solar Cells by Using Poly-L-lysine Homopolymers
Yahia F. Makableh 1 R. Vasan 1 S. Lee 1 M. A. Khan 1 M. O. Manasreh 1
1University of Arkansas Fayetteville USA
Show AbstractA study on light absorption enhancement of an InAs/GaAs quantum dot imbedded into InxGa1-xAs quantum well solar cells was carried out. Solar cells were fabricated from different structures, which were grown by using molecular beam epitaxy, with the In mole fraction (x) varied between 0 - 25 %. . Poly-L-Lysine ligand was used to modify the surface of the solar cells and act as anti-reflection layer. The anti-reflection characteristic of the ligand was investigated by measuring the transmission spectra of GaAs samples before and after modifying the surface. The current-voltage characteristics were measured of the fabricated devices before and after modifying the device surface with the Poly-L-Lysine ligands. A significant enhancement on the order of 14% of the solar cells performance was observed. This type of enhancement was observed in the power conversion efficiency, filling factor, external quantum efficiency, and spectral response measurements
9:00 AM - R10.41
Quantum-confined Stark Effect in Colloidal Quantum-dot Light-emitting Diodes
Yasuhiro Shirasaki 1 Katherine W. Stone 1 Geoffrey J Supran 1 Vladimir Bulovic 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractQuantum-dot light emitting diodes (QD-LEDs), which capitalize on the excellent color saturation and high photoluminescence efficiency of colloidal QDs, offer the prospect of a new generation of display technologies. However, these devices suffer from decreasing efficiency (measured as external quantum efficiency, EQE) at high current densities, known as efficiency roll-off, which is believed to be caused by reduced QD luminescence efficiency.
We use electroabsorption (EA) spectroscopy measurements of a biased colloidal QD-LED to assess the relative degree of QD charging and the quantum-confined Stark effect (QCSE) present in the QD layer. From here we deduce the relative contributions of these two mechanisms to the efficiency roll-off. The measurements show four EA spectral features as the QD-LED is biased above 6 V, which coincides with the onset of the efficiency roll-off. In order to identify the origin of these features, we measure the transient absorption (TA) spectra of the QD-LED&’s individual constituent and compare the results to the EA spectra. The TA measurements reveal that the EA features are primarily caused by the QDs and, in particular, the two lowest energy EA features result from a Stark shift of the 1S(e)-1S3/2(h) state of the QDs. Using the red-shift in QD electroluminescence as a measure of the QCSE present, we predict the growth of the EA spectral features as a function of the voltage applied to the QD-LED. The prediction is in excellent agreement with the observed EA features, indicating that they are predominantly due to the QCSE. Close comparison of the relative amplitudes of the EA features indicates that QD charging is a negligible effect in explaining the efficiency roll-off of our QD-LEDs.
The ‘hybrid&’ QD-LED investigated here, comprising organic and inorganic charge transport layers, represents the latest generation of device architecture. This study therefore informs the design of future QD-LEDs with improved efficiencies at the high current densities required for high-brightness emission.
9:00 AM - R10.43
Thermal Diffuse Scattering from Large-wavevector Phonons in Ultrathin Suspended Silicon Nanomembranes
Gokul Gopalakrishnan 1 Martin Holt 2 Kyle McElhinny 1 David Czaplewski 2 Paul Evans 1
1University of Wisconsin - Madison Madison USA2Argonne National Laboratory Argonne USA
Show AbstractPhonons have a critical role in determining thermal, electronic, mechanical, and optical properties. Mechanical boundaries separated by distances comparable the wavelengths of phonons modify their dispersion by introducing new vibrational modes. Determining the influence of the modified dispersion on properties such as thermal conductivity and thermoelectric figure of merit has been hindered by the difficulty in probing the phonon dispersion in nanowires and sheets. Although theoretical predictions have led to strategies for the modification of the phonon dispersion due to confinement, traditional approaches have been limited to studying low-wavevector phonons lying within the central 1% of the Brillouin Zone. As a result, the science and engineering of large-wavevector acoustic phonons in nanoscale systems, which are particularly important for thermal transport at these dimensions, have lacked experimental insight.
Synchrotron x-ray thermal diffuse scattering (TDS) collects information from elastic scattering of x-rays by phonons with wavevectors spanning the entire Brillouin zone. Applying this technique to silicon nanomembranes reveals the thermal scattering signature of large-wavevector acoustic phonon modes. TDS measurements were performed on flat, ultra-thin silicon nanomembranes. Membranes with thicknesses from 315 nm to 6 nm were fabricated from silicon-on-insulator structures using an edge-induced flattening strategy to avoid buckling. Systematic variations of the scattered intensity with crystallographic orientation, wavevector, and membrane thickness were studied. Membranes with thicknesses in the hundreds of nanometers produce TDS patterns that are accurately modeled using the bulk phonon dispersion of silicon. The TDS distribution from thinner membranes exhibits deviations from this bulk behavior. The role of different contributions to these deviations, from scattering due to strain fields and native oxide to the boundary modified phonon dispersion, will be discussed.
9:00 AM - R10.44
Zinc Oxide Nanopowder Dye-sensitized Solar Cells - The Effect of Doping on Solar Conversion Efficiencies
Birgit Schwenzer 1 Rachel M Welch 1 William D Samuels 1 Gregory J Exarhos 1
1Pacific Northwest National Laboratory Richland USA
Show AbstractZnO nanoparticles for the fabrication of ZnO-based dye-sensitized solar cells (DSSCs) were prepared using a glycine-nitrate combustion synthesis. Under illumination, DSSCs incorporating these ZnO nanopowders yield an open circuit voltage of 629 mV, a short circuit current of 4.5 mA/cm2, a fill factor of 50.2% and a solar conversion efficiency of 1.42%. The solar conversion efficiencies for DSSCs are lower when fluorine- and alumina-doped ZnO nanoparticles, prepared by the same synthesis approach, are used. They exhibit efficiencies of 0.92% and 0.56%, respectively. This decrease is predominantly due to significantly lower fill factors for the DSSCs prepared from these doped ZnO nanopowders.
Our findings for the alumina-doped ZnO DSSCs contradict recent work by Tao et al., who studied the use of doped ZnO nanorod arrays in DSSCs.[1] We will discuss differences between the two studies, and the impact of doping on the electronic and structural nature of our ZnO material, which subsequently affects the power efficiency of ZnO-based DSSCs.
[1] Tao, R.; Tomita, T.; Wong, R. A.; Waki, K. J. Power Sources 2012, 214, 159.
9:00 AM - R10.45
Phase Transitions in Silver Chalcogenide Nanocrystals
Ayaskanta Sahu 1 2 Moon Sung Kang 3 Daniele Braga 1 Oliver Waser 1 Donna D Deng 2 David J Norris 1
1ETH Zurich Zurich Switzerland2University of Minnesota Minneapolis USA3Soongsil University Seoul Republic of Korea
Show AbstractSilver selenide is a narrow band gap semiconductor possessing many interesting properties, from superionic conductivity to giant magnetoresistance. In addition, it undergoes a reversible first-order temperature-dependent phase transition which induces significant changes in its electronic and ionic properties. While these properties have been extensively examined in the bulk, very few studies have been conducted at the nanoscale. Decreasing the size provides an additional route to explore the unique properties of this material. We have recently developed a versatile synthesis that yields colloidal silver selenide nanocrystals with size-dependent optical properties. Herein, we discuss their size-dependent phase behavior. As synthesized, small silver selenide nanocrystals are in a metastable tetragonal phase not observed in the bulk. By increasing their size, we observe a cross-over to the more stable orthorhombic bulk phase. Through differential scanning calorimetry and in-situ X-ray diffraction analyses, we also examine the phase-transition temperature of these nanocrystals as a function of size. Our results indicate a significant reduction in the bulk phase-transition temperature of 135 °C for ~4 nanometer as-synthesized nanocrystals. However, by changing the surface of the nanocrystals, we can shift the phase transition temperature in the opposite direction and obtain transition temperatures much greater than bulk silver selenide. Thus, the nanocrystals allow us to completely tailor both the optical and phase transition properties of the material. Finally, we report the temperature-dependent electronic transport properties of thin films of silver selenide nanocrystals using four-terminal measurements. We observe a large increase in the conductivity due to the phase transition with record conductivities for colloidal nanocrystal films without ligand exchange.
9:00 AM - R10.46
Nanoscale Distortion of Si Quantum Wells and Quantum Dots in Si/SiGe Quantum-electronic Heterostructures
Paul Evans 1 Donald Savage 1 Jonathan Prance 1 Christine Simmons 1 Max Lagally 1 Susan Coppersmith 1 Mark Eriksson 1 Tobias Schulli 2 Martin Holt 3
1University of Wisconsin-Madison Madison USA2European Synchrotron Radiaton Facility Grenoble France3Argonne National Laboratory Argonne USA
Show AbstractKey electronic parameters of silicon quantum computing structures based on Si/SiGe heterostructure depend on nanoscale lateral variations in the structure of a thin Si quantum well (QW). The structural variations include features inherent in the growth of Si QWs under tensile strain on relaxed SiGe substrates, such as tilt due to the mosaicity arising from the relaxation of the SiGe and local sub-100 nm variations in the thickness of the QW. Additional structural distortion arises from metallizations used to provide the electrostatic definition of the lateral extent of quantum dots. We report x-ray nanobeam diffraction studies of the Si QW structure in both unpatterned Si QW structures and within electrostatically defined quantum dots. The diffraction studies show that the QW structure is characterized by micron-scale variations in the local crystallographic orientation due to 0.1-degree-scale variations in the orientation of the relaxed SiGe thin film growth substrate. Variations in the diffracted intensity are consistent with local inhomogeneity in the QW thickness, in part arising from the potential decorrelation of atomic steps at the top and bottom interfaces of the QW. The metallizations defining the electrostatic quantum dots impart an interface stress leading to a curvature of the buried QW. These effects provide new insight in the design of semiconductor quantum dot devices for quantum electronics.
9:00 AM - R10.47
Strain and Charge Density Mapping of InGaN/GaN MQW Structures with Nonpolar Orientation Using Inline Electron Holography
Ja-kyung Lee 1 Kyung Song 1 Sang Ho Oh 1
1POSTECH Pohang Republic of Korea
Show AbstractIn order to enhance the internal quantum efficiency of light emitting diode (LED) devices, there have been tremendous research efforts to reduce the polarization charges at the InGaN/GaN multi-quantum well (MQW) structures. In conventional InGaN/GaN MQWs grown along the [0001] polar direction, the internal electric fields are developed across the interfaces due to the mismatch of spontaneous polarization between the layers and also the piezoelectric polarization induced by the lattice misfit strain. It has been suggested that such internal fields induce the quantum-confined Stack effect, resulting in reduction of the internal quantum efficiency of a LED device. Therefore, the polarization matching at the interfaces between quantum well and barrier has been important issue to design high-efficiency LED devices. One promising way is to grow the MQW structure along the nonpolar direction such as [11-10] or [1-100] instead along the [0001] polar direction, which can minimize the buildup of polarization charges and associated internal fields at the interfaces. In this study, by using inline electron holography we measured the strain and the charge density distribution quantitatively in the InGaN/GaN MQWs grown along the [0001] polar and [11-10] nonpolar directions.
A recently developed inline electron holography can be used for the mapping of internal potential and charge density distribution in the semiconductor devices in transmission electron microscopy (TEM). In particular, dark-field inline holography enables the determination of local lattice strain at hetero-interface of LED devices with high precision, low noise, sub-nanometer spatial resolution and a field-of-view larger than 1mu;m. Applying these techniques, we measured the strain as well as the associated charge distribution quantitatively in a standard c-plane MQW structure. From the obtained 2-dimensional strain map, it was confirmed that not only InGaN QWs but also GaN QBs in the MQW structure are strained complementary into the opposite signs, which agrees well with the finite element modeling. As a consequence, the piezoelectric polarization is induced at the InGaN/GaN interfaces, and thus the associated polarization charges build up at the interface in form of a 2-dimensional sheet. Applying the same techniques to nonpolar a-plane InGaN/GaN MQW systems, the strain and charge density were mapped and compared with the polar c-plane MQW system, demonstrating that the strain distribution is quite different in these systems. Further, an in-plane anisotropy polarization feature will be discussed along with the charge density distribution in nonpolar system.
9:00 AM - R10.48
High-efficiency and Brightness Near-infrared Quantum-dot LEDs Using Core-shell (PbS-CdS) Colloidal Quantum-dots
Geoffrey J Supran 1 Katherine W Song* 2 Gyu Weon Hwang 1 Raoul E Correa 3 Jennifer Scherer 3 Yasuhiro Shirasaki 2 Moungi G Bawendi 3 Vladimir Buloviamp;#263; 2
1Massachusetts Institute of Technology Cambridge USA2Massachusetts Institute of Technology Cambridge USA3Massachusetts Institute of Technology Cambridge USA
Show AbstractWe demonstrate record-high efficiency and brightness near-infrared quantum-dot light-emitting diodes (QD-LEDs), for the first time employing over-coated (core-shell) PbS-CdS colloidal QDs synthesised via a Pb-to-Cd cation-exchange reaction. The use of core-shell QDs results in an enhancement of between 50- and 100-fold in peak external quantum efficiency (EQE) over PbS core-only control devices (from 0.05 ± 0.01 % up to 4.3 ± 0.3 %), as well as a 0.6 ± 0.2 V reduction in ‘turn-on&’ voltage. To our knowledge, peak EQEs obtained are more than double those of any previously reported QD-LED emitting beyond 1 mu;m (and are within a factor of two of any published QD-LED), and wall-plug efficiencies are up to fifteen-fold greater; both comparable with those of commercial near-infrared LEDs. Our devices also exhibit record-high radiance levels of 8.5 W sr-1 m-2. Electroluminescence originates solely from the QDs and has so far been tuned from 1163 nm to 1341 nm peak wavelength via selective variation of core-size during cation-exchange. Steady-state and transient photoluminescence measurements independently verify that the primary origin of the high performance observed is the passivation of PbS cores by CdS shells against in situ photoluminescence quenching. As substrate-independent, spectrally tunable and low-cost sources of electrically generated near-infrared light, QD-LEDs offer the potential to enhance existing technologies and inspire new ones. These might include optical telecommunications and computing (for example, chip-to-chip optical interconnects, nanophotonic circuits and quantum optics), bio-medical imaging, on-chip bio(sensing) and spectroscopy, and defence applications.
9:00 AM - R10.49
Fabrication of Nano-scale Resistive Memory Devices in SiO2 via Electromigration and Electroforming
John MacHale 1 Aidan J. Quinn 1
1Tyndall National Institute Cork Ireland
Show AbstractWe have developed a simple process to realize CMOS-compatible resistive switches with on/off resistance ratios > 10^4 and junction areas << 10 × 70 (nm)2. The devices&’ simple 2-terminal operation and nano-scale geometry suggests significant potential for future high-density memory applications. Devices were fabricated using wafer-scale lithographic patterning of metal nano-bridges on a Si/SiO2 substrate, followed by electromigration and electroforming of individual devices. This process leads to conducting nano-filaments within the SiOx matrix between the electrodes. Sets of Pt nano-bridge electrodes were defined on thermally oxidized silicon wafer substrates using e-beam lithography, metal evaporation (Cr 1 nm, Pt 15 nm) and lift-off. Each Pt nano-bridge was 400 nm long and 70 nm wide. Optical lithography, metal evaporation (Ti 10 nm, Au 200 nm) were then used to define micron-scale tracks leading to contact pads.To make the resistive memory element, a pair of nanometre-spaced electrodes is first formed by feedback-controlled electromigration of the Pt nano-bridge. Here, a software-controlled feedback loop was used to ramp the voltage across the nano-bridge until the onset of electromigration is registered by change in resistance. The software responds by reducing the applied voltage such that electromigration takes place in a controlled fashion, until eventually the bridge breaks resulting in a pair of electrodes with sub 5 nm separation.The nano-gap is then subjected to an electroforming process by repeatedly sweeping the voltage between 0 V and 10 V, which initiates the switching functionality. After a sufficient number of electroforming sweeps (20 - 30), the device resistance drops to around 10^4 Omega; (~1 G0). The filament can be switched between this low resistance state and a high resistance state (typically > 10^8 Omega; by applying the appropriate voltage. Repeated 0 V - 10 V sweeps were used to induce and characterise the transitions from high to the low resistance state and vice-versa. The high to low resistance transition was observed to occur between 3 V and 4 V across multiple devices and did not depend on the initial resisance. The low to high resistance transition occurs at higher current/voltages typically V asymp; 7 V, I asymp; 1 mA, and was observed to shift to higher current and voltage values as additional voltage sweeps were applied. This shift suggests an increase in the filament cross-sectional area, which in turn requires higher voltages to achieve the current density necessary to trigger the switch from the low to high resistance state. The Ron:Roff ratio for these devices was determined by applying voltage pulses of 4 V and 10 V, to set the device in the low and high resistance states respectively, and measuring the resistance at 100 mV after each pulse. Initial tests have shown repeatable switching for 500 cycles, limited eventually by latching in the low resistance state. Typical values of the Ron:Roff ratio are between 10^4 and 10^8.
9:00 AM - R10.50
Air-stable, High-performance, Flexible Nanocrystal Electronic Devices Achieved through Surface Passivation by Thermal Diffusion of Indium
Ji-Hyuk Choi 2 4 Soong Ju Oh 2 Yuming Lai 1 David K. Kim 2 Tianshuo Zhao 2 Aaron T. Fafarman 1 Benjamin T. Diroll 3 Christopher B. Murray 1 3 Cherie R. Kagan 1 2 3
1University of Pennsylvania Philadelphia USA2University of Pennsylvania Philadelphia USA3University of Pennsylvania Philadelphia USA4CNRS-Rhodia-UPenn Bristol USA
Show AbstractRecently colloidal semiconductor nanocrystals (NCs) capped with compact inorganic ligands have enabled large-area, solution-processable NC electronics with breakthrough carrier mobilities of >15 cm2/Vs. We introduced the compact thiocyanate ligand, which is environmentally benign and non-corrosive, allowing the solution-based fabrication of high-performance electronics on plastic substrates. However, NC-based electronic materials and their devices suffer from air and operational instability and therefore materials and their devices are commonly processed and measured in the nitrogen ambient of the glovebox. Water and various chemicals used in conventional fabrication severely degrade the device performance, which has limited the prospects for large-scale integrated electronic devices, until now.
Here we report the identification of surface states that form upon exposure of CdSe NCs to air or various solvents that may be subsequently passivated, leading to the recovery in the performance of devices. Through a combination of electrochemical, optical, and analytical measurements, we build a model that ascribes this dramatic recovery to the thermal diffusion of indium. Taking advantage of this recovery process, we show that the CdSe NC devices can be processed in air using the solvents of conventional lithography to define devices for large-area electronics. We further used a conventional atomic layer deposition tool to encapsulate devices with a thin layer Al2O3, which provided air stable operation of high performance CdSe NC transistors.
9:00 AM - R10.51
Ru Doped Niobate Photocatalysts Enhance Visible Light Activity by Loading Surface Capped Pt Nanoparticles
Kwang-Ho Choo 1 Blain Paul 1
1Kyungpook National University Daegu Republic of Korea
Show AbstractFabrication of nanostructured visible light photocatalysts is increasingly popular and interesting for environmental and energy applications. The majority of visible light photocatalysts have been developed from titanium-based oxides; but the photocatalytic performances of nanostructured perovskite oxides are also attractive. A nanowire-shaped semiconductor photocatalyst of sodium niobate has been demonstrated to have high activity for water splitting. An attempt to use niobates under visible light was made with dye sensitization. Niobate photocatalysts are also loaded with Pt, RhO2, or RuO2 by intercalation methods to enhance visible light activity. However, perovskite oxides have some difficulties loading foreign species. Therefore, chemical exfoliation techniques have been devised to improve the intercalation of foreign species. In this study, we investigate the doping of Ru into niobate framework followed by the loading of surface capped Pt nanoparticles on it.
A newly structured visible light active photocatalyst was fabricated from the perovskite niobate family. Sodium niobate doped with ruthenium was synthesized using a hydrothermal method. Various morphologies of niobates were obtained by adjusting the amount of Ru doped. Hydrothermal conditions played a critical role in the formation of functionalized structures. The morphology and structure of the novel photocatalyst were characterized by SEM and XRD. From UV-Vis diffuse reflectance spectra, it was found that 1% Ru doped niobate was most actively adsorbing the visible light spectrum. Proton exchange was carried out in hydrochloric acid solution in order to improve the hydrophilicity of the particle. The exfoliation of the layered niobate was made by soaking the H+ exchanged niobate with TBA+OH- for 24 h. The breakage of the O-Nb bonding occurred by washing with HF. Anchoring the surface capped Pt nanoparticles was then achieved successfully. Ru doped niobate with Pt nanoparticles showed enhanced photocatalytic activity under visible light while well degrading phenol in water.
9:00 AM - R10.52
Simulation of DC Characteristics of Nano-scale Hydrogen-terminated Diamond MISFETs
Xi Zhou 1 Frances Williams 1 Sacharia Albin 1
1Norfolk State University Norfolk USA
Show AbstractHydrogen-terminated diamond (HTD) metal-insulator-semiconductor field-effect transistor (MISFET) is known to be a very promising candidate for high-frequency applications. It has a natural insulating layer between the gate metal and HTD surface, a nano-scale hydrogen-induced adsorbate layer at the HTD surface that is responsible for hole carrier generation, and very small parasitic capacitors that are essential for high-performance RF devices. However, its conduction mechanism is still not fully understood, and as the device feature size shrinks down into nano scale, some of its electronic properties need further investigation. In this work, the DC characteristics of such devices with nano-scale gate lengths are simulated by using a two-dimensional drift-diffusion model. The simulation parameters for HTD are carefully developed and the results show good agreement with measured data. According to our simulation, the hole mobility near the HTD surface is about 55 cm2/(Vs) and it could degrade to only 8.5 cm2/(Vs) if large transverse electric field of 3.8 MV/cm is added to the HTD surface. The thickness of the natural insulating layer tint is determined to be around 4 nm, which is close to the result from electrical measurement. The effect of thermal desorption of surface adsorbates during device fabrication is accurately modeled by properly modifying the simulation parameters. The simulated output characteristics of a device with the smallest gate length (Lg=50 nm) so far indicate that the output resistance Rout in linear region decreases quickly with increase in gate-source voltage Vgs, which is also observed experimentally. This reduction in Rout is much larger than that in an ideal MISFET device and could be related to the tunneling effect in the insulating layer that can greatly change the surface potential on the HTD surface. In addition, the pinch-off voltage VP is calculated as a function of Lg. If Lg shrinks to no less than a critical gate length of 180 nm, VP will linearly shift to the positive direction with Lg. However, if Lg further shrinks from 180 nm to 30 nm, VP will increase exponentially. This short channel effect is mainly due to the big increase in lateral electrical field at small Lg, which explains the measured data quite well. Finally, the two-dimensional hole carrier distribution at the HTD surface is calculated by solving one-dimensional Schrödinger&’s equation. The resulting maximum hole concentration is 2.8×1020 cm-3, located at 0.5 nm away from the HTD surface. Compared with the hole carrier profile extracted from C-V measurement, this result is more realistic as it stems from the physical equations for quantized charges, while the C-V method gives only the effective carrier concentration. The models and results of this research will be useful to the development of HTD-based devices.
R7: Synthesis and Characterization III
Session Chairs
Isabelle Berbezier
Peter Voorhees
Thursday AM, April 04, 2013
Moscone West, Level 2, Room 2016
9:30 AM - *R7.01
The Growth of Twinned and Doped Nanowires
K. H. Yoon 1 A. D. Gamalski 3 J. G Connell 1 R. Sharma 4 C. Ducati 2 S. Hofmann 3 L. J. Lauhon 1 Peter Voorhees 1
1Northwestern University Evanston USA2Cambridge University Cambridge United Kingdom3Cambridge University Cambridge United Kingdom4National Institute for Standards and Technology Gaithersburg USA
Show AbstractDuring vapor-liquid-solid (VLS) growth of nanowires, molecules from the vapor contact a liquid catalyst, decompose, and feed the growth of the solid wire. This yields wires that are of nanometers diameter and microns length. We develop a model for dopant incorporation at the faceted solid-liquid interface that is present during nanowire growth, and compare the predictions of the model to the spatial distribution of P within Ge nanowires that has been measured using three-dimensional atom probe tomography. In situ TEM observations of Si nanowire growth show a single twin boundary intersecting the solid-liquid interface with a V-shaped interface. A re-entrant solid-liquid interface with a single twin is not present during the growth of bulk Si crystals, and is thus a unique growth mode for nanowires. A theory for the growth of these twinned nanowires has been developed, and will be compared with the insitu TEM nanowire growth measurements.
10:00 AM - R7.02
High Quality WZ-ZB Single and Multiple Heterostructures in MOVPE-grown III-V Nanowires: A Single Growth Temperature Approach
Sebastian Lehmann 1 Knut Deppert 1 Kimberly Dick Thelander 1 2
1Lund University Lund Sweden2Lund University Lund Sweden
Show AbstractSemiconducting nanowires (NWs) offer great potential as basic components in future electronic and optoelectronic devices. However, the material quality requirements are exceptionally high, conditions that 1D nanostructures also have to fulfill. Although the applicability of these structures has in principle already been proven1,2, NWs quite often include structural defects such as stacking faults, twin planes, and/or mixed crystal structures - a fact that usually is expected to be detrimental with respect to device integration3,4. It is obvious against this background that highest crystal qualities in semiconductor NWs are essential. So far, polytypic engineering in III-V NWs was reported to be achieved by a variation of directly accessible process parameters as e.g. growth temperature5,6, particle diameter7, nominal [V]/[III]-ratio of the precursor flows5,8,9, and extrinsic doping9-11. However, studies about the engineering of polytypic heterostructures within individual NWs are limited12. The preparation of highest crystal qualities for WZ and ZB as well as unconstrained opportunities for multiple heterostructure tuning along the axial direction of various Au-particle-assisted MOVPE-grown III-V NWs has not been reported yet.
We present a simple but elegant approach to tailor the crystal structure along the length of the NW but adjusting the nominal [V]/[III]-ratio of incoming precursor fluxes while keeping all other directly accessible growth parameters constant like e.g. growth temperature. We have successfully prepared highest crystal quality WZ-ZB single and multiple heterostructures within individual NWs of GaP, InP, GaAs, and InAs. This is a clear advancement to previously reported polytypic engineering in III-V NWs since we can prove a global character of our approach. It further drastically improves the quality of the final NW architectures and reduces the probability of distortions introduced by necessary in-situ changes of e.g. growth temperature, precursor flows as options for polytypic engineering. We will present scanning and transmission electron microscopy data which will prove the general applicability of our growth approach, the high quality of our heterostructures and interfaces, and interpret our findings in the framework of the existing theoretical models for the nature of polytypism in Au-particle-assisted grown III-V NWs.
1) VJ et al., IEEE J. Sel. T. in Q. El. 2011, 17, 1002
2) Ke et al., IEEE J. Sel. T. in Q. El. 2011, 17, 1033
3) Thelander et al., Nano. L. 2011, 11, 2424
4) Caroff et al., IEEE J. Sel. T. in Q. El. 2010, PP, 18
5) Joyce et al., Nano. L. 2010, 10, 908
6) Dick et al., J. Vac. Sci. Technol. B 2011, 29, 04D103
7) Johansson et al., J. of Phys. Chem. C 2010, 114, 3837
8) Paiman et al., Nanotechnology 2009, 20, 225606
9) Algra et al., Nano. L. 2011, 11, 1259
10) Algra et al., Nature 2008, 456, 369
11) Wallentin et al., Nano. L. 2010, 10, 4807
12) Bolinsson et al., Nanotech. 2011, 22, 265606
10:15 AM - R7.03
Kinking during Early-stage Growth of Ge Nanowires: Experiments and Multiphase Field Model
Yanying Li 1 Yanming Wang 2 Seunghwa Ryu 3 Wei Cai 4 Paul C. McIntyre 2 5
1Stanford University Stanford USA2Stanford University Stanford USA3Massachusetts Institute of Technology Cambridge USA4Stanford University Stanford USA5Stanford University Stanford USA
Show AbstractGe nanowires are considered promising components in high-performance field-effect transistors and nanoscale on-chip photonic devices. The electronic and optical properties of Ge nanowires are highly dependent on the wire morphology, such as their size, shape and crystalline orientation. Despite many studies of the vapor-liquid-solid (VLS) growth mechanism in several important semiconductor systems, many of its aspects are not yet well understood, such as the uncontrolled kinking (sudden orientation change, typically without introduction of a crystallographic defect) that occurs during VLS growth under a range of process conditions. Understanding the fundamental mechanism underlying kinking during Ge nanowire growth, especially at the early-stage, is helpful for better control of Ge nanowire orientation required for many technological applications.
We report an investigation of kinking during Ge nanowire early stage growth, combining microscopy characterization and 3D multiphase field model simulation. The Ge nanowires were synthesized by VLS growth using colloidal gold particles as the catalyst on Ge (111) substrates in a cold-wall lamp-heated chemical vapor deposition (CVD) chamber with hydrogen diluted GeH4 as the reactive precursor. We examined Ge nanowires of different nominal diameters and for varying growth temperatures. Microscopy showed two modes of coherent kinking, and that the probability of kinking depends strongly on the diameter of the Ge nanowires. For a given nanowire nominal diameter, the growth temperature also affected the kinking probability. Two modes of kinking were identified for initially vertical [111] Ge nanowires of 20 nm to 80 nm diameter. One mode involved formation of a <110> wire segment. In some cases, this <110> segment was observed to kink again onto a <111> axis. The other mode involved abrupt kinking onto an inclined <111> direction with a typical kinking-structure bounded by (111) and other relatively stable sidewall facet planes. The kinking modes were found to be size-dependent.
To better understand these kinking modes, we construct a 3D multiphase field model for VLS nanowire growth, which captures the sidewall facets of nanowires growing along <111> and <110> directions. The model can also be used to predict the stability of the Au-Ge liquid droplet on top of the growing nanowire pedestal. The observed kinking will be discussed in terms of the Ge nanowire surface energies of those facets, including simulations using the 3D multiphase field model, which sheds new light on the mechanism of the kinking phenomenon.
10:30 AM - R7.04
Effect of Site-selective versus Self-assembled Growth on Composition and Optical Properties of InGaAs Nanowire Arrays on Silicon
Simon Hertenberger 1 Stefanie Morkoetter 1 Stefan Funk 1 2 Julian Treu 1 Anandi Yadav 3 Mengyu Liang 1 Kristijonas Vizbaras 1 Daniel Rudolph 1 Markus Doeblinger 4 Giuseppe Scarpa 3 Ilaria Zardo 1 2 Max Bichler 1 Paolo Lugli 3 Markus Christian Amann 1 Jonathan Finley 1 Gerhard Abstreiter 1 2 Gregor Koblmueller 1
1Walter Schottky Institut Garching Germany2Institute of Advanced Study Garching Germany3Institute for Nanoelectronics Garching Germany4Chemistry Department Munich Germany
Show AbstractGroup-III arsenide nanowires (NW) exhibit significant potential for new applications in nano-electronic and -photonic devices especially when integrated on silicon (Si) platform. In particular, ternary InGaAs NWs and their heterostructures are of great interest due to their wide functionalities in NW field effect transistors, light absorbers and emitters covering a large spectral region.
Here, recent insights on the growth and optical properties of catalyst-free (In,Ga)As NW arrays on Si (111) substrate are reported. Using nanoimprint lithography (NIL) for large-scale pre-patterned Si (111) templates and subsequent high-purity molecular beam epitaxy (MBE), spontaneous non-catalytic growth of well-aligned (In,Ga)As NWs [1,2] can be realized with very high-yield (> 90%) and pristine non-tapered morphological homogeneity. Details on the rate-limiting mechanisms will be given for the underlying selective area epitaxy (SAE) growth process, as investigated by: e.g. (i) tuning of the interwire distance [3,4], (ii) modification of growth parameters [5], or (iii) variation of composition (i.e., In1-xGaxAs) [6].
Based on the finely tuned aspect ratios, further insights into the largely unexplored optical properties of these NWs will be presented using low-T photoluminescence (PL) spectroscopy. First, for binary InAs NWs the main emission characteristics are determined, revealing strong radial quantum confinement effects with NW aspect ratio. [7]. In addition, the emission properties of composition-tuned In1-xGaxAs NWs are highlighted, demonstrating how through careful growth optimization the Ga content can be varied over a large band gap region while PL linewidths remain largely unmodified. This remarkable finding points to the superiority of SAE growth on NIL-Si (111) substrates giving very low degree of phase separation. In contrast, self-assembled, spatially uncorrelated In1-xGaxAs NW arrays show larger compositional inhomogeneity with increased peakwidths in 2theta;minus;omega; high-resolution x-ray diffraction scans as well as broadened Raman modes [6]. These differences stem from interwire-dependent adatom collection and incorporation dispersion.
Moreover, correlations between microstructure by transmission electron microscopy (TEM) and PL peak energies are presented. Interestingly, In-rich InGaAs NWs as grown at high temperature show a wurtzite (WZ) dominant phase with a PL peak energy blue-shifted by ~30-40 meV with respect to the bulk zincblende (ZB) counterpart [8]. These results indicate that the band gap energy of WZ InGaAs is higher than for the respective ZB phase.
[1] S. Hertenberger, APL 98, 123114 (2011); [2] D. Rudolph, Nano Lett. 11, 3848 (2011); [3] G. Koblmüller, Nanotechnol. 21, 365602 (2010) ; [4] S. Hertenberger, JAP 108, 114316 (2010); [5] S. Hertenberger, Nanotechnol. 23, 235602 (2012); [6] S. Hertenberger, APL. 101, 043116 (2012) ; [7] G. Koblmüller, APL 101, 053103 (2012) ; [8] S. Morkötter, in preparation (2012).
10:45 AM - R7.05
Mechanisms of Strain Relief in Core-shell InGaP Nanowires
Douglas S. Oliveira 1 Luiz H.G. Tizei 1 2 Thalita Chiaramonte 3 Daniel Ugarte 1 Monica Alonso Cotta 1
1Universidade Estadual de Campinas Campinas Brazil2Universitamp;#233; Paris-Sud Orsay France3Universidade Federal de Samp;#227;o Joamp;#227;o del-Rei Samp;#227;o Joamp;#227;o del Rei Brazil
Show AbstractSemiconductor nanowires (NWs) have gained a lot of attention over the past decade due to their unique geometry, properties and almost unlimited possibilities for more complex configurations. Many authors assume that the growth of nanowires using the metal catalyst particle is initiated by the nucleation at the vapor-liquid-solid (VLS) interface (triple phase line or TPL), with the nanoparticle (NP) kept stable on top of the nanowire. However, several reports suggest that the NP, and consequently the TPL, shifts spatially during or after III-V nanowire growth, a process which may cause defects in the crystal structure.
The mechanical stability of the NP is also an issue during nanowire growth of ternary III-V alloys due to the individual solubilities of the group III (In, Ga) atoms in the metal catalyst which may lead to the spontaneous formation of core-shell structures. Depending on the relative concentration of these atoms along or across the nanowire axis, a strain profile may build up. We report here on such a process in VLS growth of InGaP nanowires using 20nm Au NPs as catalysts. The population of nanowires in the samples is divided into two groups, with different lengths. The longer nanowires, in smaller numbers, have been thoroughly analyzed by electron microscopy and contain a screw dislocation [L.H.G.Tizei et al, Phys.Rev.Lett. 107, 195503 (2011)]. The presence of the dislocation explains the longer length of these nanowires which are purely wurtzite InP at the thinner sections near the apex. On the other hand, short core-shell nanowires are present in larger numbers on the samples; the NP is clearly visible near the apex, in a tilted position or lying along the sidewall. The interface between the In-rich core and the Ga-rich shell is clearly visible, indicating that the latter is formed by vapor-solid growth on the sidewalls. We will discuss how variations in the Ga concentration in the shell and the resulting asymmetries in the strain profile may drive the displacement of the NP and the formation of the screw dislocation.
11:30 AM - R7.06
Dramatically Increased Sharpness of Dopant Profiles in Axial p-n Heterojunction Nanowires Grown from a Catalyst Alloy
Daniel E Perea 1 Jinkyoung Yoo 2 Shadi Dayeh 2 Arun Devaraj 1 Robert Colby 1 Aditya Mohite 2 S. Tom Picraux 2 Theva Thevuthasan 1
1Pacific Northwest National Laboratory Richland USA2Los Alamos National Laboratory Los Alamos USA
Show AbstractThe p-n junction can be regarded as the most important electronic structure that is responsible for the ubiquity of semiconductor microelectronics today. Efforts to continually scale down the size of electronic components is guiding research to explore the use of nanomaterials synthesized from a bottom-up approach - group-IV semiconductor nanowires being one such material. However, Au-catalyzed synthesis of Si/Si1-x-Gex semiconductor nanowire heterojunctions using the commonly used vapor-liquid-solid (VLS) growth technique results in diffuse heterojunction interfaces [1], leading to doubts of producing compositionally-sharp p-n junctions using this approach. However, we have recently reported the ability to increase axial Ge/Si nanowire heterojunction abruptness by VLS synthesis from a Au(1-x)Ga(x) catalyst alloy as shown by EDX analysis in an SEM [2]. In this work, we have extended the use of a AuGa catalyst alloy to produce more compositionally abrupt p-n junction interfaces (P-doped Si/ B-doped Ge) compared to using pure Au as directly measured by atom probe tomography. Direct mapping of the P dopant profile in P-doped Si grown from pure Au exhibit a profile of ~200 nm. In stark contrast, a dramatically sharper P profile of ~10 nm is observed when grown from Au(1-x)Ga(x), corresponding to a 20-times increase in sharpness. In addition, a ‘facet&’ is observed at the Si/Ge interface with an enhanced B distribution suggesting that the ‘facet&’ surface provides a preferential site for the residual B dopant incorporation. The ability to controllably increase nanowire p-n junction abruptness is important for nanowire applications such as in tunneling field effect transistors where an increase in device performance is expected from shaper p-n junction interfaces.
[1] T.E. Clark et al., Nano Lett. 8 (2008) 1246.
[2] D.E. Perea et al., Nano Lett. 11 (2011) 3117.
[3] The research was supported through the user program at both the Center for Integrated Nanotechnologies at Los Alamos National Laboratory (Proposal#: U2011B31) and the Environmental Molecular Sciences Laboratory (Proposal#: 47607) at Pacific Northwest National Laboratory
11:45 AM - R7.07
Controlled Ambipolar Doping and Optoelectronic Study of Lead Sulfide Nanowires
Yiming Yang 1 Dong Yu 1
1UC Davis Davis USA
Show AbstractLead sulfide (PbS) is an important earth-abundant semiconductor with a direct bandgap of 0.41 eV for promising applications in optoelectronic devices including lasers, light emitting diodes, photodetectors and solar cells. Though the synthesis of PbS nanowires has been achieved by colloidal growth and vapor deposition, the capability of doping PbS nanowires has just been recently demonstrated. We will present the result of a comprehensive study of PbS nanowires (NWs) from chemical vapor deposition (CVD) synthesis to optoelectronic characterization. By adjusting the ratio of the PbCl2 and S precursors, we can precisely and reproducibly control the doping type and concentration of the as-grown PbS NWs. A wide range of carrier concentrations is realized, from 10^19 cm-3 (n-type) to 10^18 cm-3 (p-type). The field effect electron mobility of n-type PbS NWs is up to 660 cm^2/Vs at room temperature, in agreement with a long minority carrier diffusion length measured by scanning photocurrent microscopy (SPCM). Interestingly, in an n-type NW device with one Schottky junction, we have observed an increase in carrier diffusion length from 0.39 microns to 5.7 microns as Vg is decreased from 50 V to -50 V, corresponding to a drastic increase in carrier recombination lifetime by more than two orders of magnitude. In an ambipolar NW device, the photocurrent peak position shifts from the hole collecting contact to the electron collecting contact, as the NW is turned from n-type to p-type by gate voltage. In addition, we have also observed strong absorption of visible light spectrum in the Schottky-Omic NW devices. A leaky mode resonance model is purposed, which is supported by the Finite-difference time-domain (FDTD) simulation results. The demonstrated ambipolar doping of high quality PbS NWs opens up exciting avenues for their applications in photodetectors and photovoltaics.
12:00 PM - R7.08
Transport and Photoluminescence Studies of Mn Doped ZnSe Nanowires Grown by Chemical Vapor Deposition
Jason Kaszpurenko 1 Edwin Gonzalez 1 Eunsoon Oh 2 Dong Yu 1
1University of California Davis Davis USA2Chungnam National University Daejeon Republic of Korea
Show AbstractDilute magnetic semiconductors (DMSs) are not only an ideal test bed for exploring spin-related transport and magnetization, but have the potential to revolutionize the next generation electronics at faster speeds and reduced energy consumption by exploiting spins instead of charges as the main information carriers. Doped ZnSe is a possible candidate because it has been shown to be a spin filter, displayed a large internal magnetic field (greater than 100 T), and been predicted to be ferromagnetic at room temperature. Often studied for its optical properties, the ease and large concentration of dopants that can be added to ZnSe make it an ideal candidate for DMS study. We'll be presenting the results of ZnSe nanowires doped with Mn and Cr made with a simple vapor-liquid-solid growth using pure ZnSe powder and Au as a catalyst with in situ doping. The nanowires are 50-100nm's in diameter and tens of micrometers long with EDS showing some samples with doping concentration as high as 30%. The nanowires are than transfered to produce field effect transistors to examine their transport properties. These nanowires were characterized XRD, AFM, SEM, TEM, EDS, and fluorometer.
12:15 PM - R7.09
X-Ray Diffraction Mapping and Nano-X-Ray Absorption Spectroscopy in Single InxGa1-xN Nanowires
Jaime Segura-Ruiz 1 Gema Martinez-Criado 1 Christian Denker 2 Joerg Malindretos 2 Angela Rizzi 2
1European Synchrotron Radiation Facility Grenoble France2Georg-August-University Goettingen Goettingen Germany
Show AbstractTernary alloy InxGa1-xN alloy offers the possibility to tune its direct bandgap from 0.67 to 3.42 eV providing a perfect match to the solar spectrum [1], which could be exploited in high-efficiency multijunction solar cells. Photovoltaic efficiency of this alloy could be improved further in one dimensional core-shell NWs thanks to the orthogonalization of light absorption and radial collection of photogenerated carriers [2]. However, despite the potential applications of the InxGa1-xN, many questions about their fundamental properties remain open, and there are only a few reports on the characterization of single InxGa1-xN NWs [3]. For example, the compositional inhomogeneity along the wire and its effect on the strain and local order of this alloy. Using a Kirkpatrick-Baez mirror based nanoprobe with scanning X-ray fluorescence capability, this work reports on the characterization of single In-rich InxGa1-xN nanowires (NWs) grown by molecular beam epitaxy directly on Si (111) substrates. Single NWs dispersed on SiN membranes were characterized by nano-X-ray fluorescence. Elemental maps reveal an inhomogeneous axial distribution inside the NWs. Furthermore, nano-X-ray diffraction (nano-XRD) maps and nano-X-ray absorption spectroscopy (nano-XAS) data around the In and Ga K-edge were acquired in regions of a single NW having different compositions. The nano-XAS and nano-XRD data analysis allow to ivestigate the effects of the axial compositional inhomogeneity on the local order and lattice parameters of these nanostructures.
[1] J. Wu, et. al., J. Appl. Phys. 94, 6477 (2003).
[2] B. Z. Tian, et. al., Nature (London) 449, 885 (2007).
[3] J. Segura-Ruiz, et. al., Phys. Status Solidi RRL 5, 95 (2011).
12:30 PM - R7.10
GaN Nanowires on Diamond
Fabian Schuster 1 Andrea Winnerl 1 Jose Antonio Garrido 1 Martin Stutzmann 1
1Walter Schottky Institut, Technische Universitamp;#228;t Mamp;#252;nchen Garching Germany
Show AbstractQuasi-one-dimensional GaN nanowires have attracted much interest in recent years due to their high crystalline quality and large surface area, desirable with respect to optoelectronics, (photo-) catalysis or sensing applications. However, these devices suffer from inefficient p-type doping, especially when increasing the Al content in AlxGa1-xN ternary alloys. In contrast, diamond with its indirect bandgap of 5.48 eV can be efficiently p-type doped, thus representing a perfect complement to the nitride material system as transparent electrode and efficient heat sink. We have recently demonstrated the nucleation of self-assembled, epitaxial GaN nanowires on (111) single-crystalline diamond substrates without using a catalyst or buffer layer. The nanowires exhibit a mean diameter 80 nm, a density of about 110 µm-2 and form an atomically smooth and abrupt heterointerface with the diamond substrate. In photoluminescence, a strong and sharp excitonic emission reveals excellent optical properties with an extremely low defect-related luminescence. [1]
In a next step, n-type doping of the nanowires was achieved by silicon incorporation and the impact on morphology and optical properties was studied. For increasing silicon fluxes the band filling effect in photoluminescence is observed, indicating increasing donor densities. In order to investigate waveguiding behaviour of the nanowires, the tips were capped by 10 nm of AlGaN with 40% aluminum content. These capped nanowires exhibit strong superradiant photoluminescence for increasing excitation density at room temperature with a low gain threshold of 50 kW/cm2. A small variation of the emission energy with increasing excitation power gives evidence of an efficient heat dissipation by the diamond substrate.
[1] F. Schuster et al., Nano Letters 12, 2199 (2012)
12:45 PM - R7.11
Thermal Stability of Gallium Nitride Nanowires
Albert Davydov 1 Kristine Bertness 2 Vladimir Oleshko 1 Igor Levin 1 Abhishek Motayed 1 3
1National Institute of Standards and Technology Gaithersburg USA2National Institute of Standards and Technology Boulder USA3University of Maryland College Park USA
Show AbstractGaN thin-film and nanostructured materials and devices find their increasing application in high-temperature, high-power electronics, and in gas- and temperature- sensors for automotive and aerospace applications. Recently, GaN-based HEMT was shown to sustain a continuing operation at 1000 C for 25 hrs in vacuum [1]. In addition to high-power and high-temperature operation requirements for GaN thin-film and nanowire (NW) devices, their fabrication often requires processing at elevated temperatures for optimization of microstructural, electrical and optical characteristics. Particularly, care needs to be exercised when processing or operating nanowire-based devices: GaN NWs were observed to melt upon reaching 730 C due to the Joule self-heating effect [2], which is close to the thermodynamic decomposition temperature of 780 C at ambient pressure [3]. Therefore, exploring thermal stability limits and understanding thermal degradation mechanism of GaN in its thin-film and nanowire forms, is of practical and fundamental importance. Specifically to the nanowire case, there is no available research data to date on thermal decomposition of GaN NWs in vacuum or in a gas ambient.
This paper reports on a thermal decomposition evolution of GaN NWs upon exposure to 700 C, 800 C and 900 C in a flowing Ar at 1 atm. NWs were fabricated by plasma-assisted molecular beam epitaxy employing a non-catalytic vapor-solid growth mechanism. The hexagonal, prism-shaped single-crystalline nanowires were of 13 ± 1 mu;m in length and 300 ± 50 nm in diameter, with the [0001] wurtzite growth axis and the {1010} side facets. Pronounced faceting allowed monitoring thermal degradation on both polar and nonpolar facets of NWs. The incongruent nature of GaN thermal decomposition was verified by observing formation of liquid gallium droplets on the NW sidewalls at 800 C, leading to further NW disintegration upon heating to 900 C. In addition to the Ga droplet appearance, a formation of triangular etch pits on {1010} side facets of NWs was also observed; the alignment of these thermally induced etch pits was correlated with the Ga-face polarity in NWs.
Thermal decomposition of GaN nanowires vs. thin films will be compared side-by-side with the decomposition mechanism(s) discussed.
References
1. D. Maier, M. Alomari, N. Grandjean, J.-F. Carlin, M.-A. Diforte-Poisson, C. Dua, S. Delage, and E. Kohn, InAlN/GaN HEMTs for Operation in the 1000 C Regime: A First Experiment, IEEE Electron Device Letters (2012) 33, 985
2. T. Westover, R. Jones, J. Y. Huang, G. Wang, E. Lai, and A.A. Talin, Photoluminescence, Thermal Transport, and Breakdown in Joule-Heated GaN Nanowires, Nanoletters (2009) 9, 257
3. A.V. Davydov, W.J. Boettinger, U.R. Kattner and T.J. Anderson, Thermodynamic Assessment of the Gallium-Nitrogen System, Phys. Stat. Sol. A (2001) 188, 407
Symposium Organizers
Isabelle Berbezier, Aix Marseille University
Jean-Noel Aqua, Universiteacute; Paris 6 - INSP
Jerrold Floro, University of Virginia
Andrej Kuznetsov, University of Oslo
R13: Transport Properties in Nanostructures
Session Chairs
Andrej Kuznetsov
Isabelle Berbezier
Friday PM, April 05, 2013
Moscone West, Level 2, Room 2016
2:30 AM - *R13.01
Transport Properties of Strained Nanowires and Devices
Viet-Hung Nguyen 1 Yann-Michel Niquet 1 Francois Triozon 2 Christophe Delerue 3
1CEA Grenoble France2CEA Grenoble France3CNRS Lille France
Show AbstractSilicon nanowires (Si NWs) have become very attractive building blocks for ultimate transistor devices. They are expected to show better gate control, hence reduced short channel effects. Si NWs with diameters ranging from 4 to 10 nm have now been synthetized and electrically characterized. Recent theoretical and experimental work have however shown that the carrier mobilities in ultimate Si NWs are, in general, degrading with decreasing diameter, thus raising concerns about the performances of 3D FinFET/NW devices beyond the 16 nm node. It is, therefore, extremely important to find appropriate boosters of the carrier mobilities in Si NWs.
Mechanical strains have long been used to improve the transport properties of silicon devices. We have therefore modeled the phonon-limited mobility of strained Si NWs with diameter d = 8 nm with the semi-classical Boltzmann transport equation in a fully atomistic tight-binding framework [Nano Letters 12, 3545 (2012)]. We have shown that :
1) The electron mobility in sub-10 nm [100] and [110] oriented Si NWs can be enhanced by a factor ~ 2 under moderate uniaxial tensile strains in the 1% range.
2) The hole mobility in sub-10 nm [100] and [110] oriented Si NWs can be enhanced by a factor up to 5 under uniaxial compressive strains near -1%.
We will highlight how the changes in the band structure of strained Si NWs explain the strong enhancement of the mobilities.
To assess the implications of these results for practical devices, we have also modeled the transport properties of Si NW transistors with a quantum Non-Equilibrium Green's Functions approach (NEGF) on top of a KP band structure, including both phonon and surface roughness scattering in a self-consistent way. We used the 2 bands KP model for the electrons and the 3 bands KP model for the holes, which provide a very accurate description of the effects of strains on the band structure. The trends shown by the atomistic calculations of the mobility are actually well reproduced by the NEGF approach. Nonetheless, in short channel devices with length Lg < 20 nm, the resistance of the nanowire can be dominated by the quantum "ballistic" term R0 ~ 25kOhms/Nchannels, where Nchannels is the number of sub-bands carrying current. This ballistic resistance is also very sensitive to strains, and must therefore be optimized along with the mobility of the channel. We will discuss the balance between the ballistic and scattering (mobility) resistances as a function of the design of the nanowires. We find that strained [110] Si NWs provide the best opportunities for strain enginnering of the transport properties of short channel devices.
3:00 AM - R13.02
Hot-electron Decay in a CdSe QD Solid Studied by Two-photon-photoemission Spectroscopy
Wiebke Albrecht 2 Philipp Sippel 2 Dariusz Mitoraj 1 Thomas Hannappel 2 Daniel Vanmaekelbergh 1
1Utrecht University Utrecht Netherlands2Helmholtz Zentrum Berlin Germany
Show AbstractInvestigation of the time-evolution of hot electrons in colloidal nanocrystalline quantum dots (QD) is of high fundamental interest as well as for applications of quantum dots in third-generation highly efficient thin-film photovoltaic cells. The presence of well-separated conduction electron states in quantum-dot solar cells opens the possibility to energy-selectively collect the hot and equilibrated carriers, occupying the p and s QD-orbitals, respectively. This should push the solar cell efficiency above the one-band gap limit. Decay dynamics of hot excitons in quantum dots were studied by monitoring the transient absorption (1) or terahertz-domain spectroscopy (2). Here, we report on Two-Photon-Photoemission Spectroscopy (2-PPE) studies of 1Pe→1Se electronic intra-band relaxation dynamics in a CdSe QD-solid that mimics the active layer in a photovoltaic cell (3). The technique allows us to follow the (de)population dynamics of the hot 1Pe electron states with femtosecond time resolution. Energy donation from the 1 Pe electron to the hole followed by fast relaxation of the hole (Auger cooling) is proposed to explain the fast electron cooling. However, if the oleic acid capping is exchanged for hexanedithiol capping, fast deep hole trapping competes efficiently and block the Auger-type electron-hole energy exchange. In this case, a slower decay process, with a time constant higher by one order of magnitude, becomes prominent. Our data indicate also that this alternative decay path consist of a multi-step relaxation via states intermediate between the LUMO+1 and the LUMO.
(1) Pandey, A. et Guyot-Sionnest P. Science 322, 2008, 929-932
(2) Hendry, E. et al. Phys Rev. Lett. 96 2006, 057408
(3) Paper submitted to NanoLetters 2012
3:15 AM - R13.03
Electron Localization, Tunneling and Energy Spectrum for Systems of Double Quantum Dots
Igor Filikhin 1 Sergei Matinyan 1 Branislav Vlahovic 1
1North Carolina Central University Durham USA
Show AbstractThe electron localization and tunneling in double quantum dots (DQD) is studied in relation to their spectral properties. Localization of an electron is calculated for each level of the complete energy spectrum of confined states in DQD. We use single sub-band effective mass approach [1] for the InAs/GaAs QDs. Wave function of the electron can be located in one of the QDs or can be spreader over the system. We consider inter-dot distance as dynamical variable. For identical QDs the dynamical tunneling goes consecutively from high energy levels to the ground state. This can be changed essentially when the QDs of the system are non-identical. We show that violation of symmetry of the DQD geometry reduces tunneling. The localization/delocalization effect is significant even for small variations of the QDs asymmetry parameter. For double quantum ring (DQR) we demonstrate that the electron states with the same type of rotation symmetry play important role for the tunneling. The reason for that is anti-crossing of levels as the mechanism for the tunneling.
This work is supported by the NSF (HRD-0833184) and NASA (NNX09AV07A).
[1] I. Filikhin, V. M. Suslov and B. Vlahovic, Phys. Rev. B 73, 205332 (2006).
3:30 AM - R13.04
Calculation of the Effect of Uniaxial and Biaxial Strain on the Electronic Structure of Germanium
Kaoruho Sakata 1 Suyog Gupta 1 Peter Deak 2 Blanka Magyari-Koepe 1 Yoshio Nishi 1
1Stanford University Stanford USA2Universitamp;#228;t Bremen Bremen Germany
Show AbstractGermanium is under intense investigation lately as a promising channel material for CMOS devices, because of its advantageous transport characteristics over silicon. It has also been suggested that strained Ge could exhibit improved transport characteristics for both electrons and holes, depending upon the crystal orientation and the nature of the strain. However, surface/interface properties of Ge could change under strain, with implications for the device fabrication processes. To calculate transport properties, first of all reliable electronic structure calculations are needed. However, it is well known that, due to the electron self-interaction error involved, standard local and semi-local approximations (LDA, GGA) to density functional theory (DFT) predict Ge to be a metal. To reproduce the semiconductor gap (or at least to provide a reasonable first guess of the equilibrium geometry and the corresponding wave function for ab initio many-body methods) two semi-empirical methods can be used: the application of on-site Coulomb parameters (U) in standard DFT, or the application of a hybrid exchange functional in the generalized Kohn-Sham theory. Here we report results regarding the effect of uniaxial or biaxial lattice strain on the electronic structure of bulk Ge, based on calculations with both the LDA+U and GGA+U methods, as well as with the screened hybrid functional of Heyd, Scuseria and Ernzerhof (HSE06).
All calculations were performed by using the projector augmented wave (PAW) method implemented in the VASP program. The Brillouin-zone was sampled with a 10×10×10 (12x12x12) Monkhorst-Pack set of k-points (including the Γ point) in the HSE06 (LDA/GGA+U) calculations, and a 420 eV energy cutoff in the plane wave expansion was used to obtain well converged results. In the LDA+U calculations empirically chosen different Up and Ud values were used to reproduce the experimentally observed band structure in the unstrained case. For HSE06 the standard parameters (25% for mixing, 0.2 Å-1 for screening) were used. In both type of calculations the width of the band-gap at the Γ, L, X, and Δ (minimum of the conduction band) point of the Brillouin-zone was near to the experimental values.
Our results (both LDA+U and HSE06) suggest that the direct band gap at Γ becomes the minimum band gap of Ge for a biaxial tensile strain above 1.5%. These results are well in line with available experimental information. Evolution of the band structure will be discussed in more in detail, and the results of HSE06 and LDA+U compared.
R14: Nanowires and Quantum Dots Applications in Opto-electronic Devices
Session Chairs
Friday PM, April 05, 2013
Moscone West, Level 2, Room 2016
3:45 AM - R14.02
Single Nanowire Photodetector Array for Spectral Discrimination
Jewon Yoo 1 Jaeyeon Pyo 1 Jung Ho Je 1
1Pohang University of Science and Technology Pohang Republic of Korea
Show AbstractRecently, nanowire photodetectors with broad spectral photoresponse from ultraviolet (UV)-visible to near-infrared (NIR) have been suggested for a variety of industrial and scientific applications, such as image sensing, communications, and remote control. (1) In this connection, the development of the nanowire photodetectors that enable to discriminate UV and Visible lights has been requested.
In this study, we report on the fabrication of single nanowire photodetectors that are capable of discriminating UV and Visible lights. Specifically, poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS)/ZnO nanoparticles hybrid nanowires were directly grown on Au electrode by the meniscus-guided method.(2) In fact, the single nanowire photodetector showed spectral discrimination behavior by a negative photocurrent under UV but a positive under Visible light. In particular, the photocurrent negatively increased with the ZnO nanoparticle concentration in UV light. The different photocurrent behaviors were attributed to charge transfer between PEDOT:PSS and ZnO nanoparticles. The FT-IR spectra show changed doping-induced bands, presumably due to the charge transfer from ZnO nanoparticles into the conjugated backbone of PEDOT:PSS during UV irradiation. We also demonstrated single nanowire photodetector array with single photodetectors selectively positioned on Au electrodes. Each single photodetector of the array showed its own spectral discrimination behavior. We believe that our nanowire hybrid photodetectors with the characteristics of spectral discrimination would significantly contribute to advanced photosensing and remote control in nanophotonic and optoelectronic devices.
References
(1) Z. Wang, et al., Nano Lett. 12, 4715 (2012).
(2) J. T. Kim, et al., Adv. Mater. 23, 1968 (2011).
4:00 AM - R14.03
Ultrafast Charge Transfer in a Hybrid Nanocomposite of CdTe Quantum Dots and an Iridium Complex
Yu Wang 1 Steve Li 2 Steve V. Kershaw 1 Frederik Hetsch 1 Anthony Y.Y. Tam 3 Guangcun Shan 1 Andrei S. Susha 1 Chi-Chiu Ko 2 Vivian Wing-Wah Yam 3 Kenneth K.W. Lo 2 Andrey L. Rogach 1
1City University of Hong Kong Hong Kong Hong Kong2City University of Hong Kong Hong Kong Hong Kong3University of Hong Kong Hong Kong Hong Kong
Show AbstractInterest in charge recombination in semiconductor-quantum dot (QD) based nanostructures has intensified in recent years due to their many potential applications in light-emitting and photovoltaic devices. Multiple exciton generation (MEG) by one absorbed photon in some QDs provides exciting possibilities to improve the conversion efficiencies of QD-based solar cells. Another approach to improve energy extraction is to transfer energy or charge to another species before carrier cooling, which typically occurs on the few ps timescale, can happen. We have studied ultrafast charge transfer between water soluble CdTe QDs and iridium dyes combined together in a form of stable, solution-based nanocomposites. Luminescence and transient absorption studies have been performed to elucidate the excitation, charge transfer and carrier relaxation dynamics. When combined in form of a nanocomposite, the steady-state photoluminescence spectra of the CdTe QD band-edge luminescence is substantially quenched to around 2% of the original value. At the same time, the emission of the Ir dye is also reduced to approximately 25% of the starting value. The latter suggests that energy transfer is not the mechanism responsible for quenching the QD emission. Transient absorption measurements revealed a ps-scale multiexponential decay process for the bleach recovery which may suggest recombination via an Auger mechanism. Whatever the fast relaxation mechanism however, it is clear that the decay time for the first stage of the relaxation process is similar to or slightly larger in magnitude than the electron transfer time since transfer appears to heavily suppress the bleach before cooling occurs in the QD/Ir dye composite case. Therefore, transfer can compete effectively with recombination.
4:15 AM - R14.04
Plasmon Resonance of ErSb Nanostructures Embedded in GaSb by MBE
Hong Lu 1 2 Peter G Burke 1 Arthur C Gossard 1 2
1University of California, Santa Barbara Santa Barbara USA2University of California, Santa Barbara Santa Barbara USA
Show AbstractIncorporation of crystalline metallic particles into semiconductor matrices has been demonstrated to be an efficient way of engineering electrical, thermal and optical properties of the host semiconductor. Previously we have demonstrated superlattice structures with ErSb islands buried at each ErSb/GaSb interface and strong absorption due to plasmon resonance of these ErSb islands. In this work, we present epitaxial films of ErSb:GaSb composites grown by molecular beam epitaxy (MBE) during a co-deposition growth process, as a contrast to the superlattice growth process. Transmission electron microscopy (TEM) images show that when the Er concentration is above the solubility limit in GaSb, single crystalline ErSb precipitates are formed spontaneously within the semiconductor matrix. With precise control of the Er concentration from 0.5% up to 20%, different nanostructures, including spherical particles, self-oriented nanorods, and continuous nanowires, are formed and observed. Optical transmission measurements are performed on these composite materials with different ErSb nanostructures using a UV-Vis spectrometer in the wavelength range of 800 nm to 3 µm. Strong absorption attributed to the surface plasmon resonance of metallic ErSb nanostructures is observed within the bandgap of the host semiconductor. This sub-bandgap absorption expands the absorption spectrum of the host semiconductor into the mid-IR range, where many chemicals have distinctive absorption lines, making it potentially useful for sensing applications. A polarization effect due to the self-oriented nanostructures makes this composite material a promising candidate for an as-grown polarizer or filter for mid-IR optoelectronic devices. Also, the strong plasmon resonance from these metallic rare-earth-V nanostructures makes optical transmission measurements an effective alternative to TEM analysis for the detection of nanostructures embedded in III-V semiconductors.
R11: Magnetic Properties of Nanostructured Semiconductors
Session Chairs
Marco Abbarchi
Ilan Goldfarb
Friday AM, April 05, 2013
Moscone West, Level 2, Room 2016
9:15 AM - *R11.01
Mn-doping of Group IV Semiconductors: Surfaces and Nanostructures
Petra Reinke 1 C. A. Nolph 1 J. K. Kassim 1 J. A. Floro 1 K. R. Simov 1 C. Jenkins 1 G. Ramalingam 1
1University of Virginia Charlottesville USA
Show AbstractThe magnetic doping of group IV semiconductors with Mn promises the integration of charge and spin-controlled device building blocks, but is limited by a complex materials behavior in the Mn-Ge and Mn-Si system. Our study is concerned with the specific bonding and interaction of Mn with the characteristic growth surfaces in Si and Ge thin film and quantum dots (QD) systems. This includes the Si(100)-(2x1) surface, the Ge(001) wetting layer surface as it is formed during Ge QD growth, and Ge QD {105} facets. The interaction between Mn and the semiconductor surfaces is studied with scanning tunneling microscopy. Monoatomic Mn wires form by surface-templating, and dominate on Si(100). The temperature-driven dissolution of the Mn-wires proceeds via a motion into sub-surface sites, and subsequent silicide formation. The magnetism of embedded Mn-wires is discussed in the context of Mn-Si bonding. The first studies on co-doping with Co and Mn on Si(100) will be presented. Mn surface doping of Ge QDs offers a complex interplay between Mn-island formation controlled by the QD facet reconstruction, and the onset of rapid surface diffusion of Mn, and subsequent germanide formation. The co-deposition of Mn during Ge QD growth, however, impacts QD morphology and we will discuss the role of Mn surface diffusion and the competition with compound formation. The complex growth scenarios and their relation to the diverse magnetic signatures reported in the literature will be discussed.
9:45 AM - R11.02
Magnetic Behavior of Non-magnetic Materials: Superparamagnetism in Mesoscopically Self-ordered FeSi2 Nanoisland Arrays
Ilan Goldfarb 1 Jitendra Kumar Tripathi 1 Magnus Garbrecht 2 Wayne D. Kaplan 3 Gil Markovich 4
1Tel Aviv University Tel Aviv Israel2Linkamp;#246;ping University Linkamp;#246;ping Sweden3Technion - Israel Institute of Technology Haifa Israel4Tel Aviv University Tel Aviv Israel
Show AbstractSelf-assembled α-FeSi2 nanoislands were epitaxially grown on vicinal Si(111) surfaces by room-temperature evaporation of low (~1 ML) and high (~20 ML) Fe coverage, followed by elevated-temperature annealing. Evolution of such Fe/Si(111) surfaces, as a function of Fe-coverage and annealing temperature, was studied in-situ by time-resolved scanning tunneling microscopy (STM), and ex-situ by x-ray photoelectron spectroscopy (XPS), aberration-corrected high-resolution transmission electron microscopy (HRTEM), and superconducting quantum interference device (SQUID) magnetometry. At a low Fe-covered surface, the initially two-dimensional (2×2)-reconstructed Fe-silicide layer transformed at higher annealing temperatures into (2×2)-reconstructed nanoislands, decorating the step-bunch edges at a vicinal Si(111) surface in a self-ordered fashion. In contrast, the silicide nanoislands at a high Fe-covered surface were noticeably larger, more three-dimensional, and randomly distributed all over the surface, lacking any visible positional correlations. SQUID magnetometry showed considerable superparamagnetism (~1.9 mu;B/ Fe atom at 4K) in the low Fe-coverage sample, indicating stronger ferromagnetic coupling of individual magnetic moments than in the high Fe-coverage sample (with only ~0.8 mu;B / Fe atom). As our XPS and HRTEM analyses indicated formation of the same α-FeSi2 island phase (non-magnetic in the bulk form) in both samples, and even the same α-FeSi2{112}||Si{111} orientation, the profoundly different magnetic properties must have been a direct consequence of the corresponding nanoisland morphological differences, including size, shape, and mesoscopic ordering. Such anomalous magnetic behavior of the α-FeSi2 nanoislands, most notably in low Fe coverage case, is radically different from the non-magnetic bulk α-FeSi2 phase, and may open new pathways to spintronics and high-density magnetic data storage devices.
10:00 AM - R11.03
Room-temperature Spin Functionality in Non-magnetic Semiconductor Thin Films and Quantum Structures
Y. Puttisong 1 X. J Wang 1 2 I. A Buyanova 1 A. J Ptak 3 C. W Tu 4 L. Geelhaar 5 H. Riechert 5 Weimin M Chen 1
1Linkoping University Linkoping Sweden2Shanghai Institute of Technical Physics Shanghai China3National Renewable Energy Laboratory Golden USA4University of California La Jolla USA5Paul-Drude-Institut famp;#252;r Festkamp;#246;rpelektronik Berlin Germany
Show AbstractSpin filters, spin amplifiers and spin detectors are fundamental building blocks in future semiconductor spintronics. During the past decade, we have witnessed impressive progresses in developments of novel concepts and innovative approaches in these areas. Here, we present our recent work on a new and unconventional approach of defect-enabled spin functionality in non-magnetic semiconductor nanostructures without requiring a magnetic layer or external magnetic fields. We demonstrated efficient defect-engineered spin filtering in Ga(In)NAs thin films and quantum structures, which is capable of generating a record-high degree (up to 90%) of conduction electron spin polarization at room temperature (RT) [1-4]. We also proposed a conceptually new spin amplifier by defect engineering and successfully demonstrated a spin amplifier based on Ga(In)NAs with a spin gain up to 2700% at RT [5] - the first experimental demonstration of an efficient RT spin amplifier ! Such a spin amplifier is shown to be capable of amplifying a fast-modulating input spin signal while truthfully maintaining its time variation of the spin-encoded information, and is predicted to remain functional up to 1 GHz. By taking advantage of the spin amplification effect, we further showed that Ga(In)NAs quantum structures can be employed as efficient RT spin detectors, with spin detection efficiency well exceeding 100% [6-7]. Applications of such spin-functional semiconductor nanostructures could potentially provide an attractive and viable solution to the current and important issues on RT spin injection, spin amplification and spin detection in semiconductors for future spintronics.
[1] X.J. Wang et al. Nature Materials 8, 198 (2009).
[2] X.J. Wang et al. Appl. Phys. Lett. 95, 241904 (2009).
[3] Y. Puttisong et al. Appl. Phys. Lett. 96, 052104 (2010).
[4] Y. Puttisong et al. Appl. Phys. Lett. 99, 152109 (2011).
[5] Y. Puttisong et al. Adv. Materials (2012), in press.
[6] Y. Puttisong et al. Appl. Phys. Lett. 98, 012112 (2011).
[7] Y. Puttisong et al. J. Appl. Phys. 111, 07C303 (2012).
10:15 AM - R11.04
Spectroscopic Evidence for Spin-polarized Edge States in Graphitic Si Nanowires
Paul C. Snijders 1 Phillip S. Johnson 2 Nathan P. Guisinger 3 Steven C. Erwin 4 Franz J. Himpsel 2
1Oak Ridge National Laboratory Oak Ridge USA2University of Wisconsin Madison USA3Argonne National Laboratory Argonne USA4Naval Research laboratory Washington DC USA
Show AbstractA recent calculation predicts the possibility of magnetism at step edges of vicinal Si(111) surfaces decorated with gold [1]. Graphene-like Si ribbons are formed, which contain spin-polarized Si atoms at their edges. Those atoms form a six-fold superlattice at low temperature and have a clear spectroscopic signature in a state 0.5 eV above the Fermi level. This minority spin state arises from strong exchange splitting. Scanning tunneling spectroscopy (STS) of the magnetic broken bond orbitals reveals an unoccupied state above every third silicon step edge atom at 40 K [2], in excellent agreement with theoretical calculations of the spin-polarized ground state. This spin-polarized state survives up to room temperature, where the position of the spins rapidly fluctuates among all Si step-edge sites.
[1] Steven C. Erwin and F. J. Himpsel, Nature Communications 1:58 (2010).
[2] P.C. Snijders, P.S. Johnson, N. Guisinger, S.C. Erwin, and F.J. Himpsel, New J. of Physics 14, 103004 (2012).
Research sponsored in part (PCS) by the Division of Materials Sciences and Engineering, Office of Basic Energy Sciences, U.S. Department of Energy.
R12: Electron Transport and Production in Semiconductor Quantum Dots and Nanowires for Photovoltaic Applications
Session Chairs
Petra Reinke
Noelle Gogneau
Friday AM, April 05, 2013
Moscone West, Level 2, Room 2016
11:00 AM - R12.01
Non-equilibrium Green Function Formalism for Modeling Photovoltaic Effect in a Quantum Dot Chain Connected to Wires
Aude Berbezier 1 Fabienne Michelini 1
1IM2NP Marseille Cedex 13 France
Show AbstractNew generation of photovoltaic solar cells is expected to exhibit an increase efficiency compared to classical solar cell. A way to achieve this objective is to integrate nanoscaled structures like quantum wells, wires or dots into the cell. The photovoltaic effect in these new cells crucially needs to be analyzed. However, very few works have been carried out on that burning topic. We thus aim to deeper understand the photovoltaic effect in a quantum dot chain connected to wires relying on the non-equilibrium Green function formalism.
We develop a one-dimensional two-level model of a quantum dot chain connected to two semi-infinite non-interacting wires as the reservoirs of charges. The chain is illuminated by a monochromatic plane wave. Connection inside the chain is given by an interdot coupling, and connection between the chain and wires by a hopping parameter. Band offsets at each chain-wire contact control the electron-hole selectivity. Offset values have been chosen to prevent dark current. We introduce self-energies that describe interactions between the chain and the outside. We consider the contact self-energies exactly, and the electron-photon interaction self-energy within the self-consistent Born approximation.
We put the focus on the case of a single dot chain. A significant photocurrent is produced only for a tiny range of photon energies close to the gap of the connected dot. That is explained by the fact that when the photon energy values the gap, the electron-photon interaction exactly couples the carriers of the lowest level to the available states of the highest level of the dot, and hence electron/hole pairs are generated. That is a resonant configuration.
We moreover show that the photocurrent decreases when the hopping increases, in contrast with the ballistic picture. When the hopping increases, the discrete energy levels inside the dot are broadened and shifted more and more. When the hopping increases, the contact is better, and weakens the zero dimensionality (0D) of the dot. Hence we conclude that maximal photocurrent relies on the 0D character of the connected dot.
To summarize, we modeled a photovoltaic solar cell composed of a quantum dot chain connected to two wires. A significant photocurrent is created in that cell while illuminated by a monochromatic plane wave. The photocurrent increases when the 0D character of the connected dot becomes stronger.
11:15 AM - R12.02
Ab initio Simulations of Charge Transfer and Nanophotonic Properties of the Quantum Dot/TiO2 Interface
Xukai Xin 2 Rana Biswas 1 Zhiqun Lin 2
1Iowa State University Ames USA2Georgia Institute of Technology Atlanta USA
Show AbstractQuantum dot-sensitized solar cells (QDSSCs) have emerged as a very promising solar architecture for next generation solar cells. The QDSSCs exhibit a remarkably fast electron transfer from the quantum dot (QD) donor to the TiO2 acceptor with size quantization properties that allows for the modulation of QD band gaps to control the photoresponse and photoconversion efficiency of solar cells. To understand the mechanisms that underpin this rapid charge transfer, the electronic properties of CdSe and PbSe QDs on the TiO2 substrate were simulated using a rigorous ab initio density functional method. In contrast to the plane wave approaches, this method capitalized on localized orbital basis set that is computationally far less intensive, and provides excellent electronic structure of the constituent systems. We model the QDs grown on TiO2 by us, with the successive ionic layer adsorption and reaction processes where there are no functional ligands passivating the QD surface. We find a remarkable set of electron bridging states between QDs and TiO2 occurring via the strong bonding between the conduction bands of QDs and TiO2. Such bridging states account for the fast adiabatic charge transfer from the QD donor to the TiO2 acceptor, and may be a general feature for other strongly coupled donor/acceptor systems and nanostructured semiconductor interfaces.
Simultaneously we present rigorous scattering matrix simulation results for the nanophotonic properties of such CdSe or PbSe QDs attached to ordered TiO2 nanotube arrays that we have recently fabricated. For very thin nanotube layers diffractive effects were observed with a maximal light absorption for nanotube radii of ~500nm. Thick nanotubes (>2000nm) were found to absorb light very efficiently. Thus QD sensitized solar cells reprenent a novel way to control photonic properties and electronic charge transfer with nanostructure
11:30 AM - R12.03
Well-aligned GaAs Nanowire Solar Cells with Axial PIN Junction
Maoqing Yao 1 Chun-Yung Chi 1 Yu Cao 1 Yoshitake Nakajima 1 Paul Daniel Dapkus 1 Chongwu Zhou 1
1University of Southern California Los Angeles USA
Show AbstractSemiconductor nanowires are gaining more and more attention because they hold great potential for the next generation photovoltaics. Here we report vertically aligned GaAs nanowires with axial PIN junctions as solar cells for the first time. Our innovative approach is featured with junction in the axial direction, enhanced light absorption due to light trapping and waveguide mode as well as surface passivation using AlGaAs. Nanowire pattern was prepared by using both electron beam lithography and nanosphere lithography[1]. Nanowires are grown on GaAs (111)B substrate in MOCVD by catalyst free selective area epitaxy[2]. Uniform vertical GaAs nanowire array was obtained and possessed pure Zincblend structure with twins. PIN junction was formed in the axial direction in situ during nanowire growth by using Si as n-type dopant and Zn as p-type dopant. The as-grown nanowire arrays showed very low reflection loss of less than 10% over the majority of the solar spectrum and served as an efficient light absorber without additional anti-reflection coating. The role of intrinsic segment in PIN diode was examined found to effectively mitigate Zn diffusion which can otherwise degrade the junction quality. Large surface-to-volume ratio is a disadvantage of nanowires and is especially true for GaAs because it is known to have high density of surface states. Here we passivated the nanowires with AlGaAs shell and demonstrated improved short circuit current due to suppressed surface recombination. Cathode luminescence signal enhancement also confirmed the suppression of non-radiative recombination process. Solar cells was also made on nanosphere lithography patterned substrate in large area and showed comparable performance as that of e-beam patterned sample. Our scalable synthesis approach has great potential for future large-scale, high-throughput solar cells with high efficiency and low cost.
[1] A. R. Madaria, M. Yao, C-Y. Chi, N. Huang, C. Lin, R. L, M. Povinelli, P. D. Dapkus and C. Zhou, Nano Lett. 2012, 12 (6), pp 2839-2845
[2] S. Hu, C-Y. Chi, M. Yao, K. Fountaine, H. Atwater, P. D. Dapkus, N. S. Lewis and C. Zhou, Nature Mat. submitted
11:45 AM - R12.04
Designing Periodic Semiconductor Nanowire Geometry for Thin-film Photovoltaic Applications Using FDTD Simulation
Jonathan Pradana Mailoa 1 Yun Seog Lee 2 Jake Joo 3 4 Joseph Jacobson 2 4 Tonio Buonassisi 2
1Massachusetts Institute of Technology Cambridge USA2Massachusetts Institute of Technology Cambridge USA3Massachusetts Institute of Technology Cambridge USA4Massachusetts Institute of Technology Cambridge USA
Show AbstractNanostructure based photovoltaic devices have shown a potential of improved efficiency over their planar counterparts due to the nanostructure ability to scatter light and improve light trapping in solar cells. One possible way to incorporate such nanostructure in a photovoltaic device is by the inclusion of semiconductor nanowires, either as a functional part of the photovoltaic heterojunction, or as a patterning template to improve light trapping in the solar cell. Various geometry and alignment of semiconductor nanowires have been tried to enhance optical absorption in the photovoltaic devices. The ability to analyze and optimize the performance of thin-film solar cells incorporating semiconductor nanowires with well-controlled geometry has become essential.
In this work, we use finite difference time domain simulation to analyze the light propagation in solar cells incorporating geometrically well-controlled semiconductor nanowires. We choose a Cu2O-ZnO heterojunction solar cell as a template for this study, as this structure has been fabricated in the past using a randomly oriented ZnO nanowires. We show in our analysis that by properly designing the nanowire dimension and orientation, we can use the nanowires with the proper refractive index as a photonic waveguide to focus light and increase optical absorption density at specific regions close to the photovoltaic junction, improving the minority carrier collection efficiency. We also show improved light trapping in the thin film solar cell structure that uses semiconductor nanowire as its back reflector patterning template. The results of these analyses are then used as design principles which can be used to optimize the optical advantage of geometrically well-controlled semiconductor nanowires in other thin-film photovoltaic devices.
12:00 PM - R12.05
Surface Passivated GaAsP Single Nanowire Solar Cells Grown on Silicon Exceeding 10% Efficiency
Jeppe Vilstrup Holm 1 2 Henrik I Jorgensen 2 Peter Krogstrup 1 Jesper Nygard 1 Huiyun Liu 3 Martin Aagesen 2
1Copenhagen University Copenhagen O Denmark2SunFlake A/S Copenhagen Denmark3University College London London United Kingdom
Show AbstractToday, the need for lattice matching when monolithically integrating different III-V materials restricts the bandgap combinations available for multi-junction solar cells. Nanowires are a solution to the integration problem, because the small contact interface between the nanowire and the substrate ensures that strain from lattice mismatch is relaxed within the first few monolayers. To fully utilize nanowires in multi-junction solar cells it is important to develop nanowire with suitable bandgaps, and grow the nanowires without the use of optically detrimental catalytic particles e.g. gold. Growing clean nanowires with a 1.7eV bandgap on silicon is interesting, because a dual-junction solar cell consisting of a silicon bottom cell and a 1.7 eV bandgap top cell has a theoretical 1-sun peak efficiency above 40%.
Here we present the first gallium-assisted gallium arsenide phosphide (GaAsP) nanowires grown on silicon by means of direct epitaxial growth using solid source molecular beam epitaxy. We demonstrate that their composition can be controlled during growth by producing nanowires with constant phosphorus content as well as graded nanowires with phosphorus content varied along the wire axis from x=0.15 to x=0.70 (GaAs1-xPx). With a systematic focus on the growth parameters GaAs0.8P0.2 nanowires with a 1.7 eV band gap consisting of a close to perfect zinc-blende crystal structure were successfully produced.
Growing a lattice matched shell around the core of a ternary component nanowire is inherently more difficult than for binary nanowires. By tuning the growth parameters we have achieved a lattice matched core-shell structure. Based on these lattice matched core-shell nanowires, solar cell structures were made by p-doping the core and growing first an intrinsic shell and then an n-doped shell. We have passivated the surface, i.e. stopped charge carriers from reaching the surface and recombining at surface-states, by growing an additional shell of ~10 nm highly n-doped InGaP around the nanowires.
Single nanowire solar cells where produced from broken-of nanowires by contacting the core in one end and the shell in the other end. A record efficiency of 10.2% (ISC=15 mA/cm2, VOC=0.9 V FF=0.77) for a core-shell single nanowire solar cell was achieved. The high efficiency was obtained with a surface passivated GaAsP nanowire and was an improvement of 50% compared to the best unpassivated nanowire. The ensemble efficiency was improved by 72% by adding surface passivation.
Our growth method should enable growth of nanowires in the full 1.42eV-2.26eV GaAsP bandgap range which could facilitate their use as both the top junction in a III-V on silicon dual-junction solar cell or as the top junction in a four-junction III-V solar cell.
12:15 PM - R12.06
Stoichiometric Effect on Electrical, Optical, and Structural Properties of Composition-tunable InxGa1-xAs Nanowires
Jared J. Hou 1 Fengyun Wang 1 Ning Han 1 Fei Xiu 1 Senpo Yip 1 Hao Lin 1 Ming Fang 1 Takfu Hung 1 Johnny C. Ho 1
1City University of Hong Kong Kowloon Tong Hong Kong
Show AbstractTernary InGaAs nanowires have recently attracted extensive attention due to their superior electron mobility as well as the ability to tune the band gap for technological applications ranging from high-performance electronics to high-efficiency photovoltaics. However, many difficulties still exist in the synthesis of these composition-tunable ternary nanowires, such as obtaining excellent crystal quality and uniform diameter distribution. More importantly, essential relationships among the electrical, optical, and structural properties and chemical composition in this NW material system still have not been well studied until now. In this study, we present the successful synthesis of composition and band gap tunable InxGa1-xAs alloy nanowires by a simple twominus;step growth method. Through manipulating the source powder mixture ratio, we are able to produce ternary InxGa1-xAs NWs in all stoichiometric compositions. The correlation between lattice constants and stoichiometry of each NW composition has been revealed by high resolution transmission electron microscopy and Xminus;ray diffraction. Notably, all as-grown NWs exhibit well controlled diameter distribution (25minus;30 nm in average) and smooth surface morphology without any phase segregation. Moreover, it is found that as the In concentration increases, the band gap energy of NWs falls from ~1.54 (pure GaAs NWs) to ~0.65 eV (In0.7Ga0.3As NWs) which fits with the previous study of bulk InxGa1-xAs materials with a small bowing parameter. We also found that the electrical nanowire performances such as turnminus;off and ION/IOFF ratios get improved when the In concentration decreases but at a cost of the mobility degradation. More generally, this work suggests that a careful stoichiometric design is required for achieving optimal nanowire device performances.
Reference:
1. Hou J.J., Han N., Wang F.Y., Xiu F., Yip S.P., Hui A.T., Hung T.F., Ho J.C. "Synthesis and Characterizations of Ternary InGaAs Nanowires by a Two-Step Growth Method for High-Performance Electronic Devices", ACS Nano, 6, 4, 3624-3630, 2012.
2. Hou J.J., Wang F.Y., Han N., Xiu F., Yip S.P., Lin H., Fang M., Hung T.F., Ho J.C. " Stoichiometric Effect on Electrical, Optical, and Structural Properties of Composition-Tunable InxGa1-xAs Nanowires", ACS Nano, 6, 10, 9320-9325, 2012.
12:30 PM - R12.07
Size, Doping and Composition Effects of InGaAs Nanowire/Si Tunnel Diodes Probed by Conductive Atomic Force Microscopy
Tao Yang 1 Simon Hertenberger 1 Stefanie Morkoetter 1 Gerhard Abstreiter 1 2 Gregor Koblmueller 1
1Walter Schottky Institut Garching Germany2Technical University Munich Garching Germany
Show AbstractSemiconductor-based tunnel diodes have recently become very attractive for multi-junction solar cells and low-power post-CMOS devices. In particular, their integration into nanoscale field effect transistors (tunnel-FET) is expected to leverage very power-efficient devices which operate at low supply voltages (sub-0.5 V), fast switching speeds and reduced subthreshold swing. In this regard, combining downscaled narrow-gap III-V materials with high interband tunneling on Si substrate is a much desired strategy for future TFET device architectures. Utilizing vertical nanowires (NW) with small diameter not only reduces the large mismatch constraints with Si, but enables a gate-all around geometry ideal for efficient gate modulation of the channel region, as well as a platform for ultra-high density integration.
In this study, we report recent progress in the fabrication and characterization of n-type In(Ga)As-NW tunnel diodes directly integrated on p-type Si substrate. All In(Ga)As NWs were grown by molecular beam epitaxy (MBE) in a completely catalyst-free growth mode on SiO2-templated Si(111) substrates, yielding epitaxial and fully relaxed, free-standing NWs. [1-4] To characterize the interband tunneling properties of individual n-In(Ga)As-NW/p-Si diodes we exploited the genuine method of conductive atomic force microscopy (cAFM). This method provides the major advantage of a nanoscale probe contact to acquire current maps, i.e., current distribution over huge numbers of NW-based diodes with almost no processing, as well as in-depth information on individual NWs by voltage-dependent sweeps.
Current maps under forward bias reveal a bimodal distribution of NW/Si hetero-junction tunnel diodes exhibiting either negative differential resistance (NDR, Esaki diode) or high excess currents (without NDR) due to interface defects. These tunneling features were only observed on highly p-type doped Si substrate (>10+19 cm-3) consistent with previous work [5]. More interestingly, we demonstrate that decreasing the InAs NW diameter from ~ 90 nm to ~25 nm leads to improved Esaki diode properties with record peak-to-valley current ratios (PVCR) close to 3 [6]. This astonishing finding evidences atomically abrupt p-n hetero-interfaces with negligible defect densities between the two very dissimilar materials. In addition, increasing the Ga content in In-rich ternary InGaAs NWs can preserve high PVCR, while the peak current shifts to lower voltages due to reduced Fermi energy in InGaAs. All the tunneling properties were further modeled and confirmed by band profile calculations [6].
[1] G. Koblmüller, et al., Nanotechnol. 21, 365602 (2010). [2] S. Hertenberger, et al., Appl. Phys. Lett. 98, 123114 (2011). [3] S. Hertenberger, et al., Nanotechnol. 23 , 235602 (2012). [4] S. Hertenberger, et al., Appl. Phys. Lett. 101, 043116 (2012). [5] M. T. Björk, et al. Appl. Phys. Lett. 97, 163501 (2010). [6] T. Yang, et al., Appl. Phys. Lett. 2012 (accepted).