Symposium Organizers
Viktoriia E. Babicheva, Georgia State University
Sasan Fathpour, University of Central Florida
Juejun Hu, Massachusetts Institute of Technology
Volker J. Sorger, The George Washington University, School of Engineering and Applied Science
GG3: Light Emitting Devices I
Session Chairs
Volker J. Sorger
Viktoriia E. Babicheva
Monday PM, November 30, 2015
Hynes, Level 1, Room 103
2:30 AM - *GG3.01
Microscale Light Emitting Diodes and Lasers for Multifunctional Display Systems and On-Chip Optoelectronics
John A. Rogers 1
1Univ of Illinois Urbana United States
Show AbstractThis talk summarizes advances in the development of microscale, high-performance light emitting diodes and lasers together with methods for their rapid, deterministic assembly on foreign substrates, ranging from silicon wafers to glass plates and plastic sheets. Applications in hybrid integration of III-V devices on Si platforms for next generation photonic integrated circuits will be highlighted.
3:00 AM - GG3.02
Nanoporous Metal Thin Films: Multifunctional Platforms for Influencing the Performance of Organic Light-Emitting Devices
Zeqing Shen 1 Deirdre O'Carroll 2 1 3
1Rutgers University Piscataway United States2Rutgers University Piscataway United States3Rutgers University Piscataway United States
Show AbstractTo expand their applications in commercial products, methods to increase organic optoelectronic device efficiency are attracting a lot of attention. Many studies focusing on tuning the molecular morphology, photophysics and electrical properties of organic materials to improve internal quantum efficiency of the devices or improving the light extraction/trapping ability of the device structure have been conducted to improve device efficiencies [1]. In our study, we investigate nanoporous metallic thin films as multifunctional platforms for influencing molecular organization, photophysics and light-management in organic conjugated polymer semiconductor thin films for optoelectronic applications.
Nanoporous Ag (NPAg) thin films (>1.5 cm2) with different pore sizes and porosities are fabricated using the thermally-assisted dewetting method [2]. Grazing-incidence wide-angle X-ray scattering data show that conjugated polymer chain organization can be affected by NPAg in different ways. For example, NPAg can increase the fraction of edge-on oriented poly(3-hexylthiophene) (P3HT) chains and decrease the intermolecular π-π stacking distance relative to P3HT on planar Ag. For smaller pore widths and larger porosity, the changes are more pronounced. However, for polyfluorene-based polymers (poly(9,9-dioctylfluorene), PFO and poly(9,9-dioctylfluorene-alt-benzothiadiazole, F8BT) only NPAg with larger pore size is found to alter chain orientation. These observed changes in molecular organization with pore size and porosity could allow the electrical properties of organic active layers in thin-film optoelectronic devices to be tuned [3].
Moreover, significant photoluminescence (PL) enhancements were achieved for PFO (up to 22), F8BT (up to 18) and P3HT (up to 26) on NPAg films relative to that on glass. Four mechanisms are propose to contribute to the large PL enhancement: 1) redistribution of emission by Ag; 2) redirection of emission by nanopores; 3) local electromagnetic field effects; and 4) polymer chain morphology changes caused by NPAg. Both redistribution and redirection of emission by Ag and the nanopores are believed to be the most important emission enhancement factors in polymers with high intrinsic quantum efficiencies (IQE) (i.e., PFO and F8BT). While redistribution of emission by Ag and local electromagnetic field effects were believed to be the most important factors in polymers with low IQE (i.e., P3HT) [2]. Angle-resolved PL measurements are under way to study the redirection ability of nanopores together with single-pore spectroscopy and time-resolved absorption and emission measurements to investigate local electromagnetic field effects of NPAg.
[1] C. E. Petoukhoff, Z. Shen, M. Jain, A. Chang, D. M. O&’Carroll, J. Photon. Energy. 2015, 5, 057002
[2] Z. Shen, D. M. O&’Carroll, Adv. Funct. Mater. 2015, 25, 3302
[3] M. Aryal, K. Trivedi, W. Hu. ACS Nano. 2009, 3, 3085
3:15 AM - GG3.03
Dynamic Control of Erbium Spontaneous Emission at Sub-Lifetime Speeds Using VO2 Phase-Change
Sebastien Cueff 1 3 Dongfang Li 1 You Zhou 2 Franklin Wong 2 Jonathan A Kurvits 1 Shriram Ramanathan 2 Rashid Zia 1
1Brown University Providence United States2Harvard University Cambridge United States3Institut des Nanotechnologies de Lyon Lyon France
Show AbstractThe high quantum yield of phosporescent emitters makes them widely used in devices such as amplifiers, displays, lasers, and LEDs. However, these quantum emitters are usually considered impractical for high speed applications because of their intrinsically long lifetimes.
We will present a new framework to transform “slow” phosphorescent emitters into high-speed integrated nanoscale light sources, without affecting their high quantum efficiency. Rather than modulating the excited state electronic population of the emitter, we dynamically control the local optical environment and directly modulate the emission rate into different modes. For that purpose, we leverage the ultrafast insulator-to-metal transition (IMT) of vanadium dioxide as well as the multipolar nature of erbium ions to demonstrate a nanoscale device with direct all-optical modulation of spontaneous emission 3 orders of magnitude faster than the lifetime limit (and with the potential for many more orders of magnitude improvement).
This principle provides a simple way to circumvent the intrinsic lifetime limit of Erbium ions and modulate their emission at high speeds. Such devices would have direct applications at the interface of communication, display, and lighting technologies as well as in biological and chemical sensing.
3:30 AM - GG3.04
Flexible and Multi-Colored Organic/Inorganic Hybrid Perovskite Light-Emitting Diodes
Tae-Woo Lee 1 Young-Hoon Kim 1 Himchan Cho 1 Jin Hyuck Heo 2 Tae-Sik Kim 1 NoSoung Myoung 3 Chang-Lyoul Lee 3 Jin-Woo Byun 1 Sang Hyuk Im 2
1POSTECH Gyungbuk Korea (the Republic of)2Kyung Hee University Suwon Korea (the Republic of)3Gwangju Institute of Science amp; Technology Gwangju Korea (the Republic of)
Show AbstractOrganic/inorganic hybrid perovskites (OIPs) have been intensively studied as a promising next-generation emitters alternating conventional organic and inorganic quantum dot (QD) emitters due to high color-purity light (full width at half maximum (FWHM) ~ 20 nm) arising from exciton confinement between organic and inorganic 2-dimensional alternating layers, and their comparable ionization potential (IP) and electron affinity levels with those of typical organic materials. Despite the OIP&’s high color-purity and comparable electronic energy levels with organic semiconductors, bright electroluminescence (EL) of OIPs has been limited due to their severe intrinsic problems such as significant thermal ionization and delocalization at room temperature.
To achieve the bright EL and high efficiency in organic/inorganic hybrid perovskite light-emitting diodes (PrLEDs), large hole injection barrier from low work-function (WF) of PEDOT:PSS (~5.2 eV) to deep ionization potential (IP) of OIPs (~5.9 eV) and severe exciton quenching at the interface between PEDOT:PSS and OIP layer should be overcome. To solve those problems, we used multifunctional self-organized buffer hole injection layer (Buf-HIL) composed of PEDOT:PSS and perfluorinated polymeric acid (PFI). The WF of the self-organized gradient Buf-HIL increased gradually from the bottom surface (ca. 5.2 eV) to the top surface (ca. 5.95 eV) due to self-organization of the PFI. This gradually increasing WF can facilitate hole injection into the OIPs by reducing the hole-injection energy barrier more efficiently than can PEDOT:PSS. Furthermore, the self-organized PFI which is enriched on the top surface of the Buf-HIL can prevent exciton quenching that occurs at the interface between HIL and EML. Finally, we successfully demonstrated organic/inorganic hybrid PrLEDs with a sharp green emission (FWHM ~ 20 nm), high luminance (417 cd/m2), current efficiency (0.577 cd/A) and external quantum efficiency (0.125 %) by using CH3NH3PbBr3 as an emitting layer and Buf-HIL. Furthermore, we fabricated flexible PrLEDs on the plastic substrate for the first time. We also demonstrated multicolored PrLEDs (400 nm < lambda; < 780 nm) by substituting halogen ions (CH3NH3PbClxBryI3-x-y) and organic ammonium cation (CnH2n+1NH3PbBr3).
GG4: Quantum Dots
Session Chairs
Volker J. Sorger
Viktoriia E. Babicheva
Monday PM, November 30, 2015
Hynes, Level 1, Room 103
4:15 AM - GG4.01
Polariton Emission from Colloidal Quantum Dots Strongly Coupled to Plasmonic Films
Sriharsha Venkata Jayanti 1 2 Kevin McPeak 1 David K. Kim 1 Paul R. Erickson 3 Sven Burger 4 5 Jian Cui 1 Ferry Prins 1 Stephan Kress 1 Aurelio Rossinelli 1 Kristopher McNeill 3 David J. Norris 1
1ETH Zurich Zurich Switzerland2University of Minnesota Minneapolis United States3ETH Zurich Zurich Switzerland4Zuse Institute Berlin Berlin Germany5JCMwave GmbH Berlin Germany
Show AbstractColloidal quantum dots are color-tunable, robust and bright dipole emitters that can couple to surface plasmons, electromagnetic waves bound to a metal&’s surface. When strongly coupled, light and matter states hybridize to form polariton states, which can lead to important technological advances in quantum information processing and thresholdless lasers. Despite their promise, most studies have only observed weak coupling between colloidal quantum dots and surface plasmons. Here, we demonstrate strong coupling between CdSe/CdS/ZnS core-shell quantum dots and high quality plasmonic structures, manifesting as an avoided crossing in the surface plasmon dispersion plot. With the guidance of electromagnetic simulations, large-scale silver hole arrays and quantum dots are precisely tuned to overlap both energetically and spatially to maximize light-matter interactions. When optically excited, we observe polariton emission from these states at room temperature, and obtain a Rabi splitting of 80 meV. Furthermore, by measuring power-dependent emission spectra, an equally high vacuum Rabi splitting value is extrapolated. Spectrally-resolved lifetimes reveal that the lower polariton state and uncoupled quantum dots exhibit equivalent decay times, consistent with surface plasmons strongly coupled to emitters. These results show that colloidal quantum dots are a viable and versatile optically active material for the study of strong light-matter coupling and its applications.
4:30 AM - GG4.02
Design of Efficient Light-Absorption Layers with Earth-Abundant Materials: A Tight-Binding Study on Inter-Band Transition Rate of Si:P Quantum Dots
KyuNam Cho 2 Chang-Sung Jeong 2 Hoon Ryu 1
1Korea Institute of Science and Technology Information Daejeon Korea (the Republic of)2Korea University Seoul Korea (the Republic of)
Show AbstractPhotovoltaic (PV) devices have been extensively studied for applications in solar cells. However, the grid-parity of solar powers is not yet achieved due to the expensive cost of electricity compared to the cost with fossil fuels [1]. Designs of highly efficient light absorption layers with earth-abundant materials, is thus critical as they can reduce the cost of PV cells by increasing the power-per-unit-area of solar panels. In consequence, optical properties of various materials and structures have been the central topic for research in nanoelectronics. III-V semiconductors have been known as excellent materials for light absorption layers. In particular, InAs/GaAs quantum dots (QDs) have been great interest to researchers as they exhibit high efficiency in light absorption, and have tunable optical gaps [2]. But the expensive material cost becomes the limiting factor for the grid-parity. Earth-abundant materials such as Iron disulphide and Tin monosulphide have been studied due to their appropriate optical gaps [3][4], but the strong surface-recombination limits the device performance.
The combination of scanning tunneling microscope lithography and molecular beam epitaxy enabled a precise control of dopant placements in silicon (Si), a representative earth-abundant material. Various phosphorus (P) monolayer devices embedded in Si (Si:P) thus have been realized with the atomically precise incorporation of dopants, including planar electrodes, ultra-thin interconnects and donor-based QDs for advanced logic applications [5-7]. In this work, we expand the application scope of Si:P devices to optoelectronics with a focus on Si:P QDs. Electronic structures are calculated with the sp3d5s* tight-binding model that has shown its validity in describing Si:P devices [5-7]. We report promising properties of Si:P QDs as light absorption layers, particularly against self-assembled InAs/GaAs QDs: (I) The optical gap of single-dopant QDs is good for absorbing the natural light at ~1100um wavelengths, and is widely tunable by changing the number of P atoms in the dopant cluster. (II) The inter-band optical transition rate is much more insensitive to directions of polarization, which represents the strong potential of Si:P QDs in efficient absorption of the natural light injected from arbitrary directions. Establishing a theoretical framework of optical properties of Si:P QDs that have been rarely explored for realistically sized devices with a full atomistic model, this work presents a detailed analysis useful for potential designs of light absorption layers with Si:P QDs.
References
[1] M. Bazillan et al., Renewable Energy 53, 329 (2013)
[2] D. Forbes et al., Proceedings of SPIE (2014)
[3] P. Lazic et al., Journal of Physics: Condensed Matters 25, 465801 (2013)
[4] P. Sinsermsuksakul et al., Applied Physics Letters 102, 053901 (2013)
[5] H. Ryu et al., Nanoscale 8, 053901 (2013)
[6] H. Ryu et al., Small 11, 374 (2014)
[7] H. Ryu et al., Nano Letters 15, 450 (2015)
4:45 AM - GG4.03
Solution-Processed Vertical-Cavity Surface-Emitting All-Colloidal Quantum Dot Lasers
Burak Guzelturk 1 Yusuf Kelestemur 1 Kivanc Gungor 1 Aydan Yeltik 1 Mehmet Zafer Akgul 1 Wang Yue 2 Rui Chen 2 Cuong Dang 2 Handong Sun 2 Hilmi Volkan Demir 1 2
1Bilkent University Ankara Turkey2Nanyang Technological University Singapore Singapore
Show AbstractColloidal quantum dots (QDs) are highly attractive materials for full-color and solution-processed lasers. However, optical gain in these QDs has been severely limited due to nonradiative Auger recombination. To date, large-sized heterostructured nanocrystals including giant-QDs [1] and dot-in-rod type nanocrystals [2] have been shown to suppress Auger recombination. However, strongly reduced electron-hole overlap in such nanocrystals results in decreased oscillator strength and lower optical gain coefficients.
In this work, we proposed and developed core/shell CdSe/CdS QDs that simultaneously combine large oscillator strengths and increased optical gain coefficients and demonstrated high performance lasing using these tailor-made QDs incorporated into a colloidal optical cavity to achieve the first fully solution-processed vertical-cavity surface-emitting all-colloidal lasers (VCSEL) [3]. To this end, we synthesized core/shell QDs having relatively a small size (with a total diameter of 8 nm) exhibiting polytypic crystal structure. The shell growth was performed at 310°C, which facilitated the formation of an alloyed soft-interface between the core and the shell as verified by careful XPS analysis [3]. Through excitation intensity-dependent time-resolved fluorescence spectroscopy, we found that these alloyed core/shell QDs exhibit significantly suppressed Auger lifetime of 1.48 ns, which is similar to that of the giant-QDs and nanorods [4].
As a major advancement, here we achieved ultralow threshold and extremely stable optical gain using these engineered QDs. Single-photon absorption pumped amplified spontaneous emission (ASE) was achieved with an ultralow threshold of 29 µJ/cm2, and two-photon absorption pumped ASE threshold was measured to be 5 mJ/cm2, both of which set the records in their class for the colloidal QDs [3]. This exceptionally efficient optical gain enabled us to realize the first all-colloidal lasers. For this, we developed an optical cavity using distributed Bragg reflectors (DBRs) obtained via alternative spin coating of the colloidal SiO2 and TiO2 nanoparticles. This all-colloidal laser showed remarkable two-photon absorption pumped lasing threshold as low as 730 µJ/cm2 [3]. To the best of our knowledge, this is the best among all solution-processed semiconductors including organic molecules, conjugated polymers, nanorods, etc., reported to date [5].
These VCSELs demonstrated using tailor-made core/shell QDs coupled in a colloidal cavity in this work represent the first account of an all-colloidal laser based on a highly versatile and all-solution-processed approach, paving the way towards full-color, single-material laser arrays.
[1] F. García-Santamaría et al. Nano Lett 9, 3482 (2009).
[2] M. Zavelani-Rossi et al. Nano Lett 10, 3142 (2010).
[3] B. Guzelturk et al. Adv. Mater 27, 2742 (2015).
[4] V. Klimov et al. Science 287, 1011 (2000).
[5] C. Bauer et al. Adv. Mater. 14, 673 (2002), G. Xing et al. ACS Nano 6, 10835 (2012).
5:00 AM - GG4.04
Long Range Order in Square PbSe Quantum Dot Solids Mediated by Thickness and Atomic Connectivity
Ben Savitzky 1 Robert Hovden 1 Kevin Whitham 1 Tobias Hanrath 1 Lena F Kourkoutis 1
1Cornell University Ithaca United States
Show AbstractQuantum dot solids (QDS), in which semiconductor nanocrystals (NC) are arranged into two- or three-dimensional superlattices (SL), have drawn significant attention due to their highly tunable electronic band structures, yielding a wealth of potential applications in electronics and optoelectronics. Recently demonstrated atomically coherent interfaces across adjacent NCs represent a major stride towards achieving efficient electronic transport, a key challenge in QDS [1]. However bringing this system to maturity will require understanding the relationships between structure at the scale of atoms, NCs, and SL grains. We find that the degree of atomic connectivity can be directly related to disorder in the NC-NC spacing. Further, we find that long range order (LRO) is improved by a narrower distribution in inter-NC spacing, and that LRO improves with increasing film thickness.
We study a square SL of connected 6-7 nm PbSe NCs with grains as large as 3 um, fabricated via self-assembly at a liquid-liquid interface. Using atomic-resolution aberration-corrected STEM over large fields of view, spanning entire NC SL grains, we analyzed order at multiple length scales. Quantitative analysis of LRO was achieved using the pair correlation function g(r), which describes the probability of finding two NC centers at a distance r apart. We show that the structure of g(r) closely matches a paracrystal model, in which disorder between NCs is allowed to propagate through the lattice, and that the distribution of inter-NC spacings narrows with increasing film thickness. Decline in LRO appears to result from the propagation of atomic-scale disorder in the inter-NC spacing through the SL. In thinner SLs greater disorder in NC-NC spacing causes faster loss of LRO. In thicker samples, LRO is preserved out to hundreds of nm.
To understand the source of the short-range NC-NC positional disorder we analyzed monolayer PbSe NC SLs, which grew smaller grains and displayed greater disorder in inter-NC spacing, consistent with the prior conclusion that LRO reduces in thinner samples. We show that the presence or absence of a continuous atomic lattice connecting two adjacent NCs directly impacts the NC-NC spacing, with unconnected adjacent NCs 8.0 Å farther apart on average than connected NCs. Comparison of monolayer and multilayer data suggests that the reduction of grain size and LRO in monolayer SLs is a result of reduced NC-NC connectivity.
[1] M.P. Boneschanscher et al., Science344 (2014), 1377-1380
[2] BHS acknowledges support from the NSF IGERT (DGE-0903653). This work was supported by the CCMR with funding from the NSF MRSEC program (DMR-1120296)
5:15 AM - GG4.05
Electron Transfer between Semiconductor Quantum Dots and Phthalocyanine
Maedeh Arvani 1 2 Kirsi Virkki 1 Alexander Efimov 1 Nikolai Tkachenko 1 Donald Lupo 1
1Tampere University of Technology Tampere Finland2Aring;bo Akademi University Turku Finland
Show AbstractIn the present work, electron and energy transfer in five different size quantum dots (QD) and phthalocyanine (Pc) hybrids are studied. QDs of five sizes with diameter in the range 2.8-5.3 nm were employed.
Organic-semiconductor hybrids have gained new attention after the invention of the quantum dots. [1] [2] A combination of QDs and phthalocyanine offer interesting component structures. The absorption and emission of QDs as well as their other electronic properties depend on the size. For studied Pc, the absorption spectra of QD-Pc hybrids show Pc aggregation for 3 or more Pc per each QD. Adding different concentration of Pc from 1 Pc per 1 QD to 10 Pc per 1 QD resulted in gradual quenching of the QD emission although the position and shape of the band remain the same. This behavior indicates electron or energy transfer between QD and Pc.
Time-correlated single photon counting method was used to find the lifetimes of the pure QD and Pc samples and QD-Pc hybrids. The excitation wavelength was 483 nm for all hybrid samples which means that QDs were excited. The TCSPC measurements show reduction of the emission lifetime by adding Pc to QDs in agreement with QD steady state emission quench. Transient absorption spectroscopy (pump-probe method) [3] was also used to investigate electron and energy transfer in QD-Pc (1:7) hybrids. The concentration of Pc was high enough to cause at least 60% quenching of the QD emission in QD-Pc complex, typically QD:Pc = 1:7, although absorption spectra showed Pc aggregation in such a high concentration of Pc. There was no change in absorption spectrum of the samples after the measurements, indicating high photo-stability. The results show the average time constant of band formation at 650 nm is larger for larger QDs. On the other hand, the overlap of emission spectra of QDs with absorption spectra of Pc is larger for larger QDs. The combination of the transient absorption spectra and absorption and emission spectra measurement indicate an electron transfer between QD and Pc. [4] [5]
References
1- Recent Advances in Quantum Dot Surface Chemistry (Douglas, 2014, 3041minus;3057)
2-Competition between Energy and Electron Transfer from CdSe QDs to Adsorbed Rhodamine B. (Abdelaziz Boulesbaa, 2010, 962-969)
3-Role of the Bridge in Photoinduced Electron Transfer in Porphyrin-Fullerene Dyads. (Beatriz Pelado, 2015, 5814-5825)
4-Photoinduced electron transfer of double-bridged phthalocyanine-fullerene dyads. ( Marja Isosomppia, 2006, 36-40)
5-the Quenching of CdSe Quantum Dots Photoluminescence by Gold Nanoparticles in Solution. ( Babak Nikoobakht, 2002, 591-597)
5:30 AM - GG4.06
Overcoating Alloyed InPZnS Quantum Dots for Record High Quantum Efficiency and Narrow Size Distribution through Optimized Precursor Concentration
Evren Mutlugun 1 Yemliha Altintas 1 Mohammad Younis Talpur 1 Miray Unlu 1
1Abdullah Gul University Kayseri Turkey
Show AbstractCd-free colloidal quantum dots hold great promise for future optoelectonic devices and applications. InP based quantum dots, have been under investigation regarding their potential for high efficiency, color tunability and narrow size distribution. However these quantum dots suffer from surface defects which decrease their emission quantum yield, limiting their use as compared to Cd-based counterparts.
In this work, we demonstrate the synthesis of alloyed InPZnS quantum dots further overcoated with wider band gap ZnS shell which has shown remarkable quantum yield values up to 80% preserving their full-width-half-maximum value as narrow as 45 nm. The effects of different precursor concentrations of tris(trimethylsilyl) phosphine, 1-dodecanethiol, myristic acid (MA) and the ratio of In/P and In/MA were investigated. The various core growth temperatures by alternative method using indium chloride, stearic acid, hexdecylamine, cyclohexyl isothiocyanate and zinc undecylenate has been studied. The extensive optimization study of the fatty acid ligands, the precursors, the nucleation and growh temperature of the InP based nanocrystals have been investigated to possess high quantum yield along with the color tunability and narrow size distribution. The realization of the ratio of the precursors under consideration and study of the overcoating of ZnS material has been investigated for the engineering of high efficiency quantum dots with emission peak varied from blue to near infrared, namely from 480 nm to 650 nm. The results hold great promise for future white light generation, based on pure emitting nanoluminophores, achieving enhanced color gamut.
5:45 AM - GG4.07
Extracting g Tensor Values from Experimental Data with Markov Chain Monte Carlo Methods
Anagha Shashishekhar Kulkarni 1 Matthew Doty 2
1University of Delaware Newark United States2University of Delaware Newark United States
Show AbstractQuantum Dots (QDs) have long been of interest as platforms for optoelectronic quantum sensing, quantum information processing (QIP) and quantum communications. Self assembled Indium Arsenide QDs, in particular, are of interest because they can confine single charges with well-defined spin projections that have long coherence times, and the QDs have large dipole matrix elements that allow easy coupling to optical fields. In recent years Quantum Dot Molecules (QDMs) have emerged as a new platform for optoelectronic device technologies. QDMs consist of multiple QDs arranged in sufficiently close spatial proximity such that interactions between the QDs can be used to tailor both optical and spin properties. Not only can these properties be tailored during growth, they can also be tuned in-situ by applying electric fields that vary the coupling between QDs, which controls the formation of delocalized molecular-like states. In this sense, QDMs provide a solid-state analog of molecular engineering in which the controlled formation of molecular states can be used to achieve new electronic and spintronic functionality not available with individual QD “atoms.”
Using molecular engineering approaches to tailor QDMs for specific optoelectronic or spintronic applications requires precise knowledge of specific parameters such as the binding energies of excitonic and biexcitonic charge complexes, the magnitude of many body coulomb interactions under varying spatial distributions of charge or the individual components of the g tensor for single electrons or holes. However, these parameters often appear in experimental spectra as sums and differences and it can be extremely difficult to extract precise values from either experimental measurements or theoretical calculations. We develop and apply a Markov Chain Monte Carlo method for extracting precise values for physical parameters from photoluminescence (PL) data. We demonstrate this approach by extracting elements of the g tensor for a single hole confined in an InAs QDM from PL obtained as a function of applied electric and magnetic fields. The extracted values provide critical information for the design of quantum structures tailored for optoelectronic and spintronic device applications. The approach can be applied to extract, precise quantitative values for many other important physical parameters from sparse experimental data on a variety of systems.
GG5: Poster Session I: Emerging Materials and Platforms for Optoelectronics I
Session Chairs
Monday PM, November 30, 2015
Hynes, Level 1, Hall B
9:00 AM - GG5.01
Processing of Semiconductor Core Optical Fiber for Mid IR Wavelength Transmission
Mustafa Ordu 1 Jicheng Guo 2 James Bird 1 2 Siddharth Ramachandran 3 2 Soumendra N. Basu 1 2
1Boston University Boston United States2Boston University Boston United States3Boston University Boston United States
Show AbstractSemiconductor core optical fibers allow low loss mid-IR transmission enabling various applications such as remote IR laser delivery and chemical sensing. Ge core optical fiber with borosilicate glass cladding is fabricated by conventional fiber drawing technique. Ge rods are placed in borosilicate glass tubes and placing additional borosilicate glass tubes concentrically increases the cladding diameter. This study examines the Rayleigh instability of the Ge cores as a function of core diameter, draw temperature and draw velocity.
9:00 AM - GG5.03
Hierarchically Designed ZnO Nanowire Arrays on Si Honeycomb Structures for Highly Efficient Omnidirectional and Flexible Photodetectors
Seongdong Lim 1 Doo-Seung Um 1 Minjeong Ha 1 Youngsu Lee 1 Hyunhyub Ko 1
1Ulsan National Institute of Science and Technology (UNIST) Ulsan Korea (the Republic of)
Show AbstractThe photon management technique is a key issue for high performance optoelectronics including photodetectors, light-emitting diodes, and solar cells. In order to effectively collect omnidirectional light, low-refractive index antireflection coating or antireflective nanostructures are introduced onto the surface of optoelectronics. Beside, hierarchically designed micro/nanostructures can enhance the light absorption efficiency via efficient light absorption and management behavior. In addition, flexibility in photodetectors have been an issue for their potential applications in flexible image sensor, artificial retina, and curved display.
In this study, we introduce a hierarchically designed ZnO nanowire (NW) arrays on Si honeycomb heterostructures for the development of highly efficient omnidirectional and flexible photodetectors with broad spectral range from UV to NIR. The honeycomb-structured free-standing silicon membrane can be attached onto the flexible polyimide substrate, which enables high flexibility without loss of photoresponsivity. To demonstrate the omnidirectional light absorption of honeycomb structures, we perform a UV-Vis-NIR analysis with variable angle specular reflectance accessory (VASRA). We confirm that the growth of ZnO NW arrays onto honeycomb structured Si membrane diminishes the light reflection mainly due to the efficient light absorption by the hierarchical micro/nanostructures. The angle-dependent photoresponsivity and VASRA analysis of our photodetectors indicate that the combination of honeycomb-structured Si membranes and ZnO NWs has substantially increased the omnidirectional property. We anticipate that the omnidirectional light absorption property of our hierarchical structures can be utilized to future energy-harvesting and flexible optoelectronic devices.
9:00 AM - GG5.04
Organic Down-Converter Molecules for White Light Emission
Neil Findlay 1 Jochen Bruckbauer 1 R.W. Martin 1 Peter J. Skabara 1
1Univ of Strathclyde Glasgow United Kingdom
Show AbstractSolid state lighting using light emitting diodes composed of III-nitride systems are readily available and have already been commercialised; however, there is still scope for improved wavelength converters to provide further advances in terms of cost and energy efficiency. Although there are several ways of producing white light, one approach is the combination of a blue inorganic LED together with a yellow emitting phosphor layer that not only transmits a fraction of the blue light, but absorbs and converts the remaining blue light to lower energy yellow light, providing a broad spectrum of white emission. Such an approach is readily employed in commercially available LEDs today.
Here we present our hybrid approach to generating broad spectrum white light from a combination of well-studied GaN blue LEDs and novel, organic down-converter molecules. Our hypothesis, to bond a blue, absorbing component with a yellow emissive component, has been to shown to effectively provide white light using an encapsulated down-conversion layer, with CIE coordinates of (0.34, 0.31) and a CCT of 5137 K. Synthetic procedures and studies towards qualifying the quality of light produced will be disclosed. Our early studies on the emissive component will additionally be discussed, together with more recent efforts towards the next generation of systems that will enable white light emission from these hybrid device architectures.
1. N. J. Findlay, C. Orofino-Peña, J. Bruckbauer, S. E. T. Elmasly, S. Arumugam, A. R. Inigo, A. L. Kanibolotsky, R. W. Martin, P. J. Skabara, J. Mater. Chem.2013, 1, 2249-2256.
2. N. J. Findlay, J. Bruckbauer, A. R. Inigo, B. Breig, S. Arumugam, D. J. Wallis, R. W. Martin, P. J. Skabara, Adv. Mater.2014, 26, 7290-7294.
9:00 AM - GG5.05
Carbon-Based Hybrid Flexible Photodetector Array Functionalized with a Ruthenium Complex
Eun Kwang Lee 1 2 Xien Liu 1 Dong Yeong Kim 1 Cheol Hee Park 2 Joon Hak Oh 2
1UNIST Ulsan Korea (the Republic of)2POSTECH Pohang-si Korea (the Republic of)
Show AbstractThe rapid development of field-effect transistors (FETs) based on graphene and organic semiconductor (OSC) has advanced multi-functional optoelectronic devices, such as photodetectors, light-emitting transistors, photo-controlled memories, etc. The charge transport properties of these optoelectronic devices can be manipulated not only by applying gate bias, but also by changing the intensity of incident light. Photodetectors based on graphene and OSC combine light detection and signal amplification in a single device and have many advantages, such as mechanical flexibility, low-cost fabrication process, and large-scale production. However, graphene and OSC typically have low light absorption for high performance of photodetectors. In this study, a newly synthesized ruthenium complex (Ru-complex) has been applied to the graphene and N,N'-bis(2-phenylethyl)-perylene-3,4:9,10-tetracarboxylic diimide (BPE-PTCDI, as OSC) FETs via a simple solution method. By way of the functionalization of a Ru-complex on those materials, the performance of these photodetectors has been improved greatly due to the metal-ligand charge transfer (MLCT). The fabricated photodetectors have been integrated into array system on plastic substrates, which show high mechanical flexibility and stable operation after repeated bending tests under tensile and compressive strains. Our study paves a simple and viable way to improve photoresponsivity of carbon-based materials such as graphene and OSC for the application of smart optoelectronic devices.
9:00 AM - GG5.06
High Efficient Organic Optoelectronic Device with Dual Functions of Ultraviolet Photodetection and Electroluminescence Consisting of a Charge-Transfer-Featured Naphthalimide Derivative
Hanyu Wang 1 Jie Zhou 2 Xu Wang 1 Zhiyun Lu 2 Junsheng Yu 1
1University of Electronic Science and Technology of China Chengdu China2College of Chemistry, Sichuan University Chengdu China
Show AbstractOrganic photoelectronics have been of much interest in recent years with the merits of low cost, light-weight, high flexibility and versatility of chemical structures. The representative devices for organic photoelectronic technology are organic light-emitting diodes (OLEDs), organic ultraviolet photodetectors (UV-PDs), organic solar cells, organic photovoltaic and electroluminescent (EL) integrated devices, et al. Among them, OLEDs are attractive in the fields of solid-state lighting and full-color displays. Meanwhile, lots of work has been focused on organic UV-PDs owing to their wide application in fields like solar astronomy, fire detection, biological sensing, and so on. Noteworthily, even though an integrated device with multi-function as an organic UV-PD and OLED is important for all-organic integrated circuits, it has not yet been extensively studied.
In this work, we present a High efficient organic optoelectronic device by using a charge-transfer-featured material of 6-{3,5-bis-[9-(4-t-butylphenyl)-9H-carbazol-3-yl]-phenoxy}-2-(4-t-butylphenyl)-benzo[de]isoquinoline-1,3-dione (CzPhONI) as the active layer. This device is an efficient organic UV-PD in reverse bias, and simultaneously, a green OLED in forward bias. This device can be used as an organic UV-PD for its sharp response in UV region and a high detectivity of 1.5×1011 Jones at -3 V. The photocurrent in UV light detector was due to the charge transfer exciton dissociation in the CzPhONI layer. Under electrical excitation, the device showed an excimer EL light emission with a maximum brightness of 1437 cd/m2. Based on the analysis of energy band diagrams in forward and reverse biases, the UV-PD and EL dynamic processes of organic optoelectronic device were elucidated, and the dual UV-PD and EL functions were ascribed to the charge transfer feature of CzPhONI and matched energy level alignment.
9:00 AM - GG5.07
Coupling of Surface Plasmon Polaritons to Edge Emission in Insulator-Semiconductor-Metal-Insulator Waveguides at Short Visible Wavelengths
Ankur Kanti Dalsania 1 Jesse Kohl 2 Zeqing Shen 1 Deirdre O'Carroll 1 2 3
1Rutgers University Piscataway United States2Rutgers University Piscataway United States3Rutgers University Piscataway United States
Show AbstractSurface plasmon polaritons (SPPs) offer a promising approach to control light on the nanometer scale and they have a wide range of potential applications from subwavelength-based optoelectronics to bio-sensing. However, their short propagation lengths, particularly at blue and green wavelengths, make them a source of optical loss at metal electrodes in certain optoelectronic devices such as (O)LEDs and thin-film lasers, which reduces light extraction efficiency. Theoretical calculations have shown a relationship between the metal film thicknesses in insulator-semiconductor-metal-insulator (ISMI) waveguides and the propagation of SPPs. In particular, SPP leakage and propagation length are expected to decrease with increasing metal film thickness. Providing experimental evidence for this behavior and an understanding of how it impacts thin-film optoelectronics has been challenging due to the difficulty of detecting semiconductor emission coupled to SPPs.
In this study, a set of ISMI and ISI (no metal) waveguides with the following structure and layer thicknesses was fabricated: SiO2 superstrate (1 mm), polyfluorene semiconductor emissive layer (100 nm), Ag (0, 35, 45, 50, 55, 65, or 100 nm), SiO2 microcover substrate (0.2 mm). Then, polarization-dependent edge-emission spectra were collected by projecting a stripe from a 355 nm laser excitation source onto the front edge of the sample and focusing the resulting edge emission into a spectrometer. Calculating the edge-emission dichroic ratio at the wavelength of peak intensity, for each sample for low and high excitation pump powers provided insight into the extent of polyfluorene emission coupling to SPP modes as a function of metal film thickness, since SPPs are transverse magnetic (TM) polarized modes. The dichroic ratios above the threshold for stimulated emission in the polyfluorene material were, from largest to smallest: 22.0, 11.9, 11.3, 8.2, 4.5, and 3.3 for ISMI waveguides with Ag metal film thicknesses of 100, 65, 55, 50, 45, and 35 nm, respectively. The dichroic ratio for the sample with no Ag was 0.63; however, a direct comparison cannot be made with the Ag containing samples because of the issue of waveguide symmetry. The trend in the dichroic ratios indicates that as the Ag film gets thicker, SPP propagation and coupling to edge emission from the polyfluorene material is reduced, which agrees with theoretical predictions. The dichroic ratios below the threshold for stimulated emission in polyfluorene were, in general, smaller without gain and exhibited no trend with increasing Ag film thickness. In the future, a front-emission study needs to be performed to determine the extent of SPP mode leakage. This will enable further understanding of why thicker metal films yield shorter propagation lengths; either the thicker metal causes more light to be reflected back in the direction of the excitation or more metal simply causes lossier emission-coupled SPP modes.
9:00 AM - GG5.08
GaSb and InSb Quantum Dots: Morphologies and Optical Properties
Thanavorn Poempool 2 Zon . 2 Suwit Kiravittaya 1 Supachok Thainoi 1 Songphol Kanjanachuchai 1 Somchai Ratanathammaphan 1 Somsak Panyakeow 2
1Naresuan University Taphoon Thailand2Chulalongkorn University Bangkok Thailand
Show AbstractGaSb/GaAs and InSb/GaAs quantum dots (QDs) are type-II nanostructure, which possess interesting electronic and optical properties such as having long carrier life time, low carriers-recombination rate, and emitting low photon energy. These characteristics of type-II nanostructure can be applied to infrared or gas detection devices, memory devices and even intermediate band solar cells. In contrast, lattice mismatch of GaSb/GaAs and InSb/GaAs are 7.8% and 14.6%, respectively, which provided some growth condition for QDs formation in Stranski-Krastanov growth mode.
In this paper, the growth of self-assemble GaSb and InSb QDs on (001) GaAs substrate by using molecular beam epitaxy (MBE) was reported. The physical aspects of QDs and optical properties were characterized by atomic force microscopy and photoluminescence (PL) and the results were compared between two QDs structure. Due to the lattice mismatch in each material system and the difference in sticking coefficient of Ga- and In-atoms during epitaxial growth, we obtained GaSb/GaAs QDs with a density ~1010 dot/cm2 and InSb/GaAs QDs with a density of ~108 dot/cm2. The facet morphology analysis of individual QD in each material system reveals that GaSb QD has a dome-like shape with nearly isotropic property while InSb QD formed a rectangular-like shape elongated parallel to [110]-direction showing a strong anisotropic property.
Low temperature PL spectra from capped GaSb and InSb QDs shows QD-related peaks at 1.08-1.11 and 1.16-1.20 eV. The variations of QD peaks as a function of both temperature and excitation power are investigated. QD peak shows clear blue shift when excitation power is increased and PL emission from QDs can still be observed at room temperature. This work confirms a possibility to use both GaSb and InSb QDs for opto-electronic applications.
GG1: III-V Epitaxy and Integration
Session Chairs
Sasan Fathpour
Viktoriia E. Babicheva
Monday AM, November 30, 2015
Hynes, Level 1, Room 103
9:15 AM - *GG1.01
Ultralow Power Light-Emitting Diode Enabled On-Chip Optical Communications using a III-Nitride on a Silicon CMOS Process Integrated Platform
Jurgen Michel 1 2 Bing Wang 2 Li Zhang 3 Wenjia Zhang 2 Cong Wang 4 Kenneth Eng Kian Lee 2 Soo Jin Chua 3 2 Li-Shiuan Peh 2 5
1Massachusetts Institute of Technology Cambridge United States2Singapore-MIT Alliance for Research and Technology (SMART) Singapore Singapore3National University of Singapore Singapore Singapore4Nanyang Technological University Singapore Singapore5Massachusetts Institute of Technology Cambridge United States
Show AbstractIn order to increase on-chip communication bandwidth, optical interconnects can potentially meet the strict requirements on low power consumption at high data rates. CMOS processing limits the choice of materials and processes. Therefore, the development of on-chip interconnect systems has focused on Si compatible materials with near IR light. More recently, wafer bonding and through-silicon vias (TSV) have been implemented using a Si interconnect platform. Here we propose an on-chip optical interconnect system, based on a III-Nitride or III-V photonic platform that is implemented on Si with CMOS electronics on the top surface and TSVs to connect to the underlying optical interconnect system. To reduce power consumption, we plan to use direct-modulated light-emitting diodes (LEDs) grown on a silicon substrate. Unlike laser based designs, incoherent LED-based links can only function with network-on-chip (NoC) architectures that can multiplex traffic flows atop 1-to-1 connections, i.e., where control and switching needs to be done with electrical routers. Optical routers based on resonance such as microrings are not applicable for filtering, modulating or switching. Wavelength-division multiplexing (WDM) cannot be used to enable 1-to-many or many-to-many connections. Therefore, the LED enabled interconnects will be used for point-to-point connections at low power consumption. We are evaluating two materials system, III-Nitride and III-V based light emitters and detectors. The advantage of using InGaN/GaN LEDs is that the epitaxy technology of III-Nitrides on (111) silicon is more advanced than III-V epitaxy on Si substrates. Applications of III-Nitrides in solid-state lighting have been widely used and commercialized. For III-Nitrides, the optical devices and the link will be fabricated on Si (111) substrates before any electrical components are fabricated. Similarly, the III-V devices will be based on a Ge-on-Si substrate. CMOS processing and components will be integrated on the processed optical link wafer via wafer bonding technology and back-of-end-line processing. We will present system simulation, evaluation, and preliminary results of InGaN multiple-quantum-well (MQW) LEDs and photodetectors. Initial results indicate low power-consumption and promising application of this technology as on-chip optical interconnects for many-core processors.
9:45 AM - GG1.02
Optical and Electro-Optical Phenomena in Resonant GaAs-Based Bragg Structures with Ultrathin InAs Quantum Wells in the Complex Supercell
Vladimir V. Chaldyshev 1 2 Andrey S Bolshakov 1 Andrey V. Babichev 1 3 Ekaterina V. Nikitina 3
1Ioffe Institute Saint Petersburg Russian Federation2Peter the Great St.Petersburg Polytechnic University Saint Petersburg Russian Federation3St. Petersburg Academic University Saint Petersburg Russian Federation
Show AbstractAn optical medium with periodic perturbations on the dielectric susceptibility shows an enhanced light-matter interaction when the periodicity-related Bragg resonance meets the resonant frequency of the perturbations. Such a medium can be realized via a sequence of quantum wells (QWs) with poles in the dielectric susceptibility provided by excitation of the quasi-two-dimensional excitons. The collective behavior of the excitonic system in response to the electromagnetic field gives rise to a superradiant optical mode that transforms into the photonic band gap when number of periods becomes large. The resonant Bragg structures are quite attractive for all-optical and electro-optical applications since the excitonic states are sensitive to external and internal electric fields.
In this paper we studied optical and electro-optical phenomena in resonant GaAs-based Bragg structures, which were distinctive in several important aspects. One of them is ultrathin, just 1 monolayer, InAs QWs. This allows us to minimize the non-resonant periodic contrast in the refraction index, which creates passive untunable respond of the medium. Another aspect is a complex structure of the periodic supercell, in order to enhance the light-matter interaction without an increase in the number of periods, which is important issue for practical use.
A set of structures with different periodicity was grown by molecular-beam epitaxy. We investigated optical and electro-optical spectra at different ambient conditions and configuration of the experiment in order to reveal the interplay between the Bragg resonance and different excitonic states originated from the interaction of the excitons in the individual QWs. Numerical quantum-mechanical calculations were made to explain the fine structure of the measured optical and electro-optical spectra. A significant diffraction effect has been revealed with tuning the exciton system in the vicinity of the Bragg resonance.
10:00 AM - GG1.03
Effect of Localized Boron States on the Conduction Band Transport in n-Type BxGa1minus;xAs1-yPy
Lars Ostheim 1 Peter J. Klar 1 Martin Zimprich 2 Peter Ludewig 2 Stefan Reinhard 2 Wolfgang Stolz 2
1Institute of Experimental Physics I, Justus-Liebig-University Giessen Giessen Germany2Phillips-University Marburg Marburg Germany
Show AbstractSilicon-based devices dominate semiconductor electronics. However, this does not hold for optoelectronics due to the lack of silicon-based laser. One possible approach towards silicon photonics is the monolithic integration of III-V semiconductors onto the silicon platform. The large lattice mismatch between conventional direct-gap III-Vs i.e. InP, GaAs and related alloys leads to high dislocation densities. These dislocations can be avoided by growing lattice-matched buffer layers, like (B,Ga)P or (B,Ga)(As,P) onto the silicon and employing thin strained layers in the active region of the device. The challenge to overcome in these alloys are their unusual electronic structures which are in the focus of this study.
(B,Ga)(As,P):Te and Ga(As,P):Te samples are grown by MOVPE on a 150 nm GaP buffer layer under a Te-partial pressure of Te/Ga=5×10-4. While the incorporation of Te results in n-type doping of the samples, the incorporation of B into Ga(As,P) leads to the formation of localized electronic states resonant with the conduction band. In order to investigate the influence of these localized states on the transport properties, magnetotransport measurements were performed in a temperature range from 10K to 300 K and at hydrostatic pressures up to 15 kbar. The results obtained indicate that a boron-related density of localized states exists in the vicinity of the conduction band edge of the alloy. These localized states act as electron traps as well as efficient scattering centers. By applying hydrostatic pressure the energetic positions of conduction band edge at the X-point and the localized boron states are shifted with respect to each other with an impact on the electronic transport parameters of the alloy.
10:15 AM - GG1.04
High Optical and Structural Quality III-Nitrides Quantum Well Grown on (-201) Oriented beta;-Ga2O3
Mufasila Mumthaz Muhammed 1 Suman-Lata Sahonta 2 Colin Humphreys 2 Iman S Roqan 1
1King Abdullah Univ of Samp;T Thuwal Saudi Arabia2University of Cambridge Cambridge United Kingdom
Show Abstractβ-Ga2O3 is a potential alternative substrate compared to the current used substrates for high efficiency GaN-based LEDs, due to its high electrical conductivity, transparency and the low in-plane lattice mismatch between the (-2 01) plane of β-Ga2O3 substrate and the (0002) plane of GaN (4.7 %)1. In this work, high quality InxGa1minus;xN/GaN single (SQW) and multiple (MQW) quantum well structures (3 periods) with different In mole fraction (x=0.5 to 0.15) were grown on (-201)-oriented β-Ga2O3 substrates by metalorganic chemical vapor deposition (MOCVD). A low temperature GaN buffer layer with ~ 10 nm thickness was used. Transmission electron microscopy (TEM) shows significant threading dislocation (TD) annihilation in the buffer layer, leading to low TD density (~107 cm2) without the need for patterned substrate. For all samples, thickness of the QWs was estimated by X-ray diffraction (XRD) to be ~3±0.13 nm; whereas that of the GaN barrier was measured to be~6±0.2 nm. The full width at half maximum (FWHM) values of the XRD GaN (0002) peak was measured to be less than 350 arcsec, indicating a good crystal quality. The photoluminescence (PL) measurements were carried out by He-Cd laser (325 nm). An intense band edge emission peak was observed with a weak yellow band emission. Time-resolved PL experiments were carried out using the third harmonics (lambda; = 266 nm) pulses of a tunable Ti: Sapphire laser. Excitation above the bandgap of GaN barrier showed a biexponential for all samples. However, the SQW showed a longer lifetime (~0.6 ns) than that of the MQW (~0.3 NS) (although both samples have a similar InN composition and QW thickness). In addition, the carrier lifetime increases as In content increases. The difference between these decay times for both samples will be discussed in details as this phenomenon is ascribed to the Shockley-read-hall recombination rate, since Auger recombination rate is minor under low excitation levels. Samples were also excited below the bandgap of GaN barrier to investigate the exciton confinement behavior. Temperature dependent absorption, PL experiments and time-resolved PL measurements will yield a detailed insight into the recombination mechanism for these high quality InGaN/GaN QWs grown on (-201) oriented β-Ga2O3 substrate.
Acknowledgment
The authors thank Tamura Japan for growing the samples.
1. M. M. Muhammed, M. Peres, Y. Yamashita, Y. Morishima, S. Sato, N. Franco, K. Lorenz, A. Kuramata and I. S. Roqan, Applied Physics Letters 105 (4), 042112 (2014).
10:30 AM - GG1.05
Heteroepitaxial Bonding of III-V Semiconductors to Si Platform for Hybrid Optoelectronic Devices
Eric Le Bourhis 1 Konstantinos Pantzas 1 2 Gilles Patriarche 2 Isabelle Sagnes 2 Anne Talneau 2 David Troadec 3
1Inst P' Univ. Poitiers Futuroscope France2LPN CNRS Mercoussis France3IEMN Villeneuve d'Ascq France
Show AbstractWafer bonding of III-V semiconductors to Si platform is an increasingly popular approach for the fabrication of hybrid optoelectronic devices. Bonding is usually achieved by means of a thick oxide layer between the bonded surfaces. This oxide deleteriously affects the mechanical, optical, and thermal properties of the final device. We propose a method to directly bond InP to Si [1] and avoid the penalties incurred in oxide-assisted bonding. 400nm thick InP membranes have been successfully bonded oxide-free to Si for surfaces of ~ 1 cm2 using this method. Bonding was obtained both on bare Si substrates, and nanostructured waveguide Si substrates patterned with 1D parallel trenches and 2D square lattices of holes. In all cases, it is mandatory that all bonds at the interface be reconstructed in order to preserve the crystalline properties and nanostructuration of each material. Special attention has been paid to the surface preparation. Its structure has been checked after acid processing and before bonding. The interface strength has been measured mechanically employing instrumented nanoindentation [2] while its structure and mechanical resistance have been analyzed in depth with transmission electron microscopy.
[1] K. Pantzas, G. Patriarche, E. Le Bourhis, D. Troadec, A. Itawi, G. Beaudouin, I. Sagnes, A. Talneau, Appl. Phys. Lett., 103, 081901 (2013)
[2] K. Pantzas, E. Le Bourhis, G. Patriarche, A. Itawi, G. Beaudoin, I. Sagnes, A. Talneau, Eur. Phys. J. - Appl. Phys. 65, 20702 (2014)
10:45 AM - GG1.06
Multiple Polariton Modes Arising from Coupled InGaAs Quantum Well Excitons in Planar Microcavity
Fauzia Jabeen 1 Clauderic Ouellet-Plamondon 1 Gregory Sallen 1 Daniel Oberli 1 Benoit Deveaud 1
1Ecole Polytechnique Federale de Lausanne (EPFL) Lausanne Switzerland
Show AbstractExciton-polariton is light-matter quasi-particle arising from the strong coupling of an exciton and a photon [1]. Polariton Bose-Einstein condensation can be achieved by fulfilling two conditions. Firstly, to maintain the strong coupling at high density by keeping the coupling strength high enough [2,3] and secondly, before the onset of the excitonic Mott transition, where the system is no longer bosonic due to the nature of the electron-hole plasma, the polariton critical density must be reached [4]. Typically, the microcavities designed to study polariton are made of (In)GaAs/(Al)GaAs QWs placed in between two GaAs-based DBR.
Here, we show that using high quality InGaAs/GaAs QW stacks, additional polariton modes can be resolved. Since the QWs are relatively shallow the exciton wavefunction overlap the different wells, creating additional energy levels. Bare QW samples are studied using PL and PLE and show a series of excitonic transitions. The nature of these transitions are precisely identified when comparing the spectra with effective-mass calculations. When varying the QW In content from 3% to 12%, the exciton linewidth increases from 0.5 meV to 2 meV, indicating the high quality of the samples. Finally, when the QW stacks are placed inside a high Q-factor microcavity, an anticrossing is clearly observed from all the excitonic transitions. This behaviour is modelled with the standard coupled oscillator model accounting for the cavity mode and all exciton states. Using the electron and hole wavefunctions calculated for the QW stack, we can evaluate the relative light-matter coupling strength of each exciton state and accurately reproduce the observed behaviour.
J. J. Hopfield, Phys. Rev. 112, 1555 (1958).
R. Houdré, , et al., PRB 52, 7810 (1995).
R. Butté, , et al., PRB 65, 205310 (2002).
L. Kappei, , et al., PRL. 94, 147403 (2005).
GG2: Nonlinear Optics
Session Chairs
Sasan Fathpour
Viktoriia E. Babicheva
Monday AM, November 30, 2015
Hynes, Level 1, Room 103
11:30 AM - *GG2.01
Epsilon Near-Zero Metamaterials in the Visible and Infrared for Guiding, Sensing and Nonlinearity Enhancement
Anatoly Zayats 1
1King's College London London United Kingdom
Show AbstractControlling photonic processes on length scales below the diffraction limit requires structural elements with dimensions much smaller than the wavelength. Plasmonic metamaterials based on arrays of aligned plasmonic nanorods can be designed to behave as either anisotropic dielectrics or metals with an effective bulk plasma frequency depending on the structural parameters. These metamaterials provide a flexible platform with tuneable epsilon-near-zero behaviour across the visible and telecom spectral range. In this talk, we will overview fundamentals and applications of such metamaterials for development of active nanoscale waveguides, hyperbolic polaritonic crystals, label-free bio- and chemical sensors, controlling emitters, and designing on-demand nonlinear response. The integration of metamaterial components in Si photonic circuitry will also be discussed.
12:00 PM - GG2.02
An Active Modulator Based on Second Harmonic Generation in CdS Nanobelt Waveguides via Photocurrent
Mingliang Ren 1 Jacob Stern Berger 1 Hoseok Ee 1 Wenjing Liu 1 Ritesh Agarwal 1
1Univ of Pennsylvania Philadelphia United States
Show AbstractGeneration and modulation of coherent light signals is crucial for developing on-chip nanophotonic applications. Second-harmonic generation (SHG) or optical frequency doubling (2omega;=omega; +omega;), as a specific second-order nonlinear process, has been extensively studied in various bulk crystals and nanostructures for a variety of applications. Although preliminary studies of SHG in nanowire waveguides have been reported, control and fast modulation of the signals have not been reported due to variety of challenges due related to in-coupling of the fundamental wave into the nanoscale waveguides. In this work, we enable FW to be coupled into nanobelt waveguides by the edge-scattering effect without any in-coupling devices and study the SHG process along the waveguide as a function of excitation polarization and propagation length. We observe the conversion efficiency of the SHG output is associated with several factors, including in-coupling efficiency of FW due to the edge-scattering effect, propagation length, phase matching and mode overlapping between the FW and SHG waveguide modes in the nanoscale waveguide, where tight confinement of light is critical. Our strategies for electrically modulating the SHG output signal from the nanowaveguides will be discussed along with the demonstration of an electrically controlled SHG modulator
12:15 PM - GG2.03
Nonlinear Optical Effects in GPTS/TEOS-Derived Organic/Silica Hybrid Materials
Adriano J.G. Otuka 1 Paulo Henrique Dias Ferreira 3 Emerson Cristiano Barbano 1 Diego S Manoel 2 Fabio Simoes De Vicente 2 Dario Antonio Donatti 2 Dimas Roberto Vollet 2 Lino Misoguti 1 Cleber Renato Mendonca 1
1Instituto de Fisica de Sao Carlos, Universidade de Sao Paulo Sao Carlos Brazil2Departamento de Fisica, Universidade Estadual Paulista "Julio de Mesquita Filho" Rio Claro Brazil3Departamento de Fisica, Universidade Federal de Sao Carlos Sao Carlos Brazil
Show AbstractOrganic/inorganic hybrid materials have been explored for several technological applications due its broad advantages, such as, high optical quality, significant chemistry stability, and considerable mechanical properties associated with the interaction of the individual organic and inorganic constituents. These materials can be doped with optically active compounds or nanoparticles, allowing a new applications range. For instance, the combination of the optical properties of an organic dye with the high stability of the organic/inorganic matrices allows interesting applications in photonic area, such as, solid-state dye lasers and optical devices.
In this work, we investigate nonlinear optical properties in GPTS/TEOS-derived organic/Silica hybrid materials. We measure the nonlinear refractive index (n2) in these materials, using the traditional closed-aperture Z-scan technique. As light source, we used a Ti:sapphire amplified system at 775 nm with 150-fs pulses duration and operating at a 1 kHz repetition rate. To ensure a Gaussian beam TEM00 profile, the laser beam passes through a spatial filter before the Z-scan setup. The laser beam was focused into the sample with a lens (f = 15 cm), and the output signal was monitored by a silicon photodetector coupled to a lock-in amplifier. For calibration purposes, we have also measured fused silica, which has a well-known value of n2 (2.4x10-16 cm2/W), using a laser irradiance of 1.7x1011 W/cm2. The Z-scan measurements showed a positive n2 (4.8x10-16 cm2/W) to GPTS/TEOS-derived organic/Silica hybrid materials. In laser micromachining, the positive n2 can affect the light intensity profile during the fabrication procedure and, consequently, the shape of the fabricated waveguide, due to self-focusing effect. Here, we also present waveguides produced by direct laser write technique in Rhodamine B-doped GPTS/TEOS-derived organic/Silica Hybrid Materials. We observed the influence of self-focusing in the fabricated waveguides. The total loss in these waveguides is of 4.6 dB.
12:30 PM - *GG2.04
Hybrid Plasmonic Waveguide for Nonlinear Four-Wave Mixing Generation
Stefano Palomba 1
1The University of Sydney Camperdown Australia
Show AbstractWe report theoretical and preliminary experimental demonstration of a nonlinear four-wave mixing signal generated in a hybrid plasmonic waveguide (HPWG), constituted by a nonlinear dielectric material, sandwiched between a metallic layer and a Si nanowire.
Symposium Organizers
Viktoriia E. Babicheva, Georgia State University
Sasan Fathpour, University of Central Florida
Juejun Hu, Massachusetts Institute of Technology
Volker J. Sorger, The George Washington University, School of Engineering and Applied Science
GG8: Light Emitting Devices II
Session Chairs
Tuesday PM, December 01, 2015
Hynes, Level 1, Room 103
2:30 AM - GG8.01
Light Extraction Efficiency Assessment of Organic Polymer Light-Emitting Device Architectures
Catrice Carter 1 Deirdre O'Carroll 1 2 3
1Rutgers University Piscataway United States2Rutgers University Piscataway United States3Rutgers University Piscataway United States
Show AbstractPolymer-based organic light-emitting diodes (PLEDs), have potential to be fully-solution-processable, sustainable alternatives to current display and lighting options. Currently, organic light-emitting diodes (OLEDs) can have internal quantum efficiencies (IQE) of 100%. However, for white OLEDs the light-extraction efficiency (LEE) is, at best, between 20-31%. The external quantum efficiency (EQE) which is determined from multiplying the IQE and LEE is lower for red and green (5.5% and 9%, respectively) phosphorescent PLEDs and even lower for blue phosphorescent PLEDs (3.5%) as a result of low LEE. The lower efficiency of blue PLEDs can be attributed to the difficulty of charge injection into blue-emitting polymers as a result of energy barriers between the charge transport and active layers. In addition, once holes and electrons recombine emitted light can either reflect at an angle out of the device or refract back into the device due to the mismatch in the refractive indices of air and the substrate. EQE results are even lower for fluorescent PLEDs because, unlike phosphorescent PLEDs that harvest triplet excitons, only singlet excitons are allowed to decay to the ground state in fluorescent PLEDs. Therefore, further improvements in EQE must be made for white light and single color PLEDs.
Here, theoretical electromagnetic simulations of LEE are carried out for three alternative fluorescent device configurations to the bottom-emitting conventional PLED device structure: bottom-emitting inverted, top-emitting conventional and top-emitting inverted. These alternative device configurations are investigated due to their potential to increase lifetime (inverted) and LEE (top-emitting). We find the following LEE values at a wavelength of 440 nm for the various device configurations assuming a complex refractive index for the metal electrode and only real values of the refractive index for the other materials: 68% for bottom-emitting conventional, 51% for bottom-emitting inverted, 51% for top-emitting conventional, and 4% for top-emitting inverted. A large portion of the light generated is trapped within the devices due to interband absorption, substrate modes, waveguide modes, and surface plasmon polaritons (SPP) modes. Furthermore, for in-plane dipole orientations light is primarily radiated out of the device with attenuation due to ohmic losses. However, for out-of-plane dipoles, light is trapped in waveguide modes and SPPs, resulting in lower efficiencies. Next, the complex refractive index of all materials will be considered, layer thickness optimization will be carried out, and preferential in-plane orientation of dipoles in PLED devices will be accounted for to determine more realistic values for LEE. The results of this study are expected to yield insight into the dominant optical loss factors associated with various device architectures currently under consideration for PLED applications.
2:45 AM - GG8.02
Application of New Active Materials for Light-Emitting Electrochemical Cells
Ruben Dario Costa 1
1Friedrich-Alexander-Universitauml;t Erlangen Erlangen Germany
Show AbstractLight-emitting electrochemical cells (LECs) are the leading example in the field of ionic-based thin-film organic electronics.[1,2] They are processed from solution and do not rely on air-sensitive charge-injection layers or metals for electron injection, which greatly simplifies their preparation and passivation compared to organic light-emitting diodes (OLEDs).
Up to date, LECs are mainly based on RuII or IrIII complexes and polymers, featuring moderate stabilities and efficiencies.[1,2] Examples in the blue, deep-red, and infrared regions are scarce, owing to the intrinsic limitations of these materials. Hence, developing low-cost, environmentally friendly, ionic materials emitting in the blue and red regions constitutes the grand challenge in LECs.
To tackle this aspect, three types of sustainable compounds are currently under studied in our group. Firstly, complexes based on CuI are our major focus. In particular, we will show first examples of blue LECs based on heteroleptic complexes featuring tetragonal and trigonal coordination motifs.[3,4] Secondly, we have developed a new procedure to implement lighting nanoparticles (NPs) in LECs for the first time.[5] To this end, we have synthesized hybrid perovskite NPs with two different organic cations, which were then incorporated into the final device via an up-scalable spray-coating technique. Devices prepared with the electrolyte matrix showed stable yellowish green luminance at low driving currents while those without this component did not show any response. Thirdly, this contribution will also focus on the study of small molecules like pentacene as emitters in LECs. As the most remarkable result, we demonstrated an easy-to-do method to tune the chromaticity of petacene-based devices, demonstrating the first white small molecule LEC.[6]
[1] R. D. Costa, E. Ort, H. J. Bolink, F. Monti, G. Accorsi, and N. Armaroli, Angew. Chem., Int. Ed., 2012, 51, 8178.
[2] T. Hu, L. He, L. Duan, and Y. Qiu, J. Mater. Chem., 2012, 22, 4206.
[3] M. F. Aygüler, M. D. Weber, P. Docampo, R. D. Costa, EP-1555, 15153467.4.
[4] M. Elie, F. Sguerra, F. Di Meo, M. D. Weber, R. Marion, A. Grimault, J.-F. Lohier, J.-L. Renaud, R. D. Costa, M. Linares, M. Hamel, S. Gaillard J. Am. Chem. Soc. 2015 (submitted)
[5] M. F. Aygüler, M. D. Weber, B. M. D. Puscher, D. D. Medina, P. Docampo, R. D. Costa J. Phys. Chem. C 2015,119, 12048
[6] M. D. Weber, M., Adam, R. R. Tykwinski, R.D. Costa Adv. Funct. Mater.2015 (in press)
3:00 AM - GG8.03
Monolithic Integration of Oxide Transparent Multilayer Photonic Crystal as Optoelectronic Gate Dielectric into Organic Light-Emitting Transistor Platform
Santiago D. Quiroga 1 Marco Natali 1 Luca Passoni 2 Giovanni P. Donati 1 Emilia Benvenuti 1 Francesco Scotognella 3 Manuela Melucci 4 Luigino Criante 2 Fabio Di Fonzo 2 Michele Muccini 1 Stefano Toffanin 1
1CNR-ISMN Bologna Italy2IIT Milano Italy3POLIMI Milano Italy4CNR-ISOF Bologna Italy
Show AbstractOrganic light-emitting field-effect transistors (OLETs) are emerging opto-electronic devices that combine the capability of light generation with the switching properties of field-effect transistors [1]. Given the in-plane geometry and the lateral charge injection, OLETs possess a huge technological potential for the realization of bright and efficient nanoscale light sources [2]. Though, the full compatibility of OLET devices with well-established electronic and photonic planar technologies is still to be fully demonstrated by developing optical communication and integrated optoelectronic systems. At this porpoise, here we propose a strategy for tuning the optical and photonic features of single-layer ambipolar OLET in terms of emitted optical power, color coordinate and angular emission profiles by monolithically integrating a transparent oxide multilayer Photonic Crystals (PhCs) as optoelectronic gate (OEG) dielectric in the device planar architecture.
The 1D PhC consists in an alternating stack of ZrO2/Al2O3 nanometric-thick layers which are deposited by Pulsed Laser Deposition onto glass/ITO substrate. This technique guarantees control over optical quality, high surface area packing density, wide substrate and platform compatibility. Moreover, the intrinsic planar 1D geometry of the multilayer PhC allows the organic active layer to preserve the morphological and structural features essential for efficient field-effect transport.
The multistack is optimized in order to obtain the highest contrast in refractive indexes values together with high density and low superficial roughness in every single layer. A throughout simulation of the optical properties of multilayer PhC allows to engineer the number and thickness of the layers comprising the multistack for guaranteeing the coupling between the microcavity modes and the dipoles of the OLET active material [3]. Indeed, we implement a new-synthetized ambipolar electroluminescent thieno(bis)imide semiconductor as active material [4] in the bottom-gate top-electrode device configuration. The engineering of the integrated photonic device results in the enhancement of the overall optoelectronic performances, i.e. x6 and x10 factor in the emitted-power and brightness, and the achievement of non-lambertian light spatial distribution and modulation of the electroluminescence spectrum. Finally, the collected data allow us to consider the integration of photonic-active planar 1D PhCs as gate dielectric in OLETs a key element paving the way for further improvement in the realization of the long-searched-for electrically pumped organic laser.
[1] Muccini, M. Nature Mat., 5, 605 - 613, 2006
[2] Capelli, R., et al. Nature Mat., 9, 496-503, 2010
[3] Frezza, L., et al. J. Phys. Chem. C, 115 (40), 19939-19946, 2011
[4] Melucci M., et al. Chem. Comm. 47, 11840-11842, 2011
3:15 AM - GG8.04
Spectroscopic Signatures of Energy and Charge Transfer at the Interfaces in Colloidal Quantum Dot Light Emitting Diodes
Weon-kyu Koh 1 Taeho Shin 1 Kyungsang Cho 1 Sungwoo Hwang 1
1Samsung Electronics Suwon Korea (the Republic of)
Show AbstractColloidal nanocrystals and quantum dots (QDs) are promising solution-processible materials integrated into optoelectric devices such as light emitting diodes (LEDs), solar cells, sensors, and photodetectors. Although there have been huge improvement for colloidal QD-based LED and solar cells for the last decade, there are still remaining challenges to understand energy and charge transfer across interfaces between QDs and other electric transfer layers. We choose CdSe/CdZnS QD LED for the model optoelectric device, and look at the energy and charge transfer at the interfaces of QDs/ZnO and QDs/TFB (poly[(9,9-dioctylfluorenyl-2,7-diyl)-co-(4,4&’-(N-(4-secbutylphenyl)diphenylamine)), which are electric transfer and hole transfer interfaces, respectively. From steady state photoluminescence (PL) spectroscopy and time-resolved PL spectroscopy, we see spectroscopic evidences of charge transfer from QDs/ZnO layers and energy transfer from QDs/TFB layers. We also discuss the role of crosslinking in QD layer, which is critical to achieve enough gain medium in QD LEDs for high power application. This enlightens more detail mechanism of electric-driven QD LEDs which is different from conventional solid state LEDs, enabling to design rational device architectures of QD-based optoelectric devices.
3:30 AM - GG8.05
Spatially Modulated Light Emitter by Solution Processed Glass Nanostructures
Tingyi Gu 1 2 Chao Lu 1 Alejandro Rodriguez 1 Craig B. Arnold 1 Tony F. Heinz 1
1Princeton Univ. Princeton United States2Columbia Univ. New York United States
Show AbstractNanoscale processing of soft materials with non-lithographic techniques is a growing area of interest. In this paper, we demonstrate fabrication of two dimensional arsenide sulfide photonic crystal excitonic light emitter array by a microtrench filling method. The coherent interference among subwavelength periodic light emitters leads to enhancement of local spontaneous emission rate in the non-cavity planar structure. Silicon photonic crystals with amstrometer surface roughness is used to spatially confine the solution processed materials and form periodic structure. Arsenic sulfide is one of the binary chalcogenides whose atomic network is van der Waals bonded two dimensional layers. Under visible cw light excitation, the planar emitter array, surrounded by higher refractive index material silicon, enhances vertical extraction of light within the spectral bandwidth where the lateral guiding modes are prohibited in the photonic crystal plane, and effectively modify the emission/absorption spectrum. The indirect bandgap material silicon offers a robust platform for smooth geometric confinement of solution processed materials, compared to direct lithographic patterning. We experimentally demonstrated that the 2-D photonic crystal enhanced vertical emission magnitude of ~ 2 within the bandgap frequencies, for high efficient light-emitting diode. The demonstration of excitonic optical antenna would also be useful for three dimensional display, etc.
4:15 AM - GG8.06
N Type Doping of Strained Epitaxial Germanium Films Using Ion Implantation Followed by Nanosecond Pulse Laser Melting
David Pastor 1 2 Hemi Gandhi 1 Corentin P. Monmeyran 3 Yan Cai 3 Russell M Gwilliam 4 Iain F. Crowe 5 Lionel C. Kimerling 3 Jurgen Michel 3 Anu Agarwal 3 Eric Mazur 1 Michael J. Aziz 2
1Harvard University Cambridge United States2Harvard University Cambridge United States3Massachusetts Institute of Technology Cambridge United States4University of Surrey Guildford United Kingdom5University of Manchester Manchester United Kingdom
Show AbstractThe last few years have seen much interest in developing CMOS compatible, Germanium lasers for applications in optical computing, telecommunications, and IR photonics. Band engineering of Ge using a combination of tensile strain and high n-type doping has been experimentally shown to facilitate efficient recombination through the direct bandgap (G-valley (111)), producing population inversion and gain. The gain has been theorized and experimentally shown to increase linearly with active n-type doping. Simulations suggest that for lasing emission near 1,550nm in 0.25% tensile strained Ge, an active dopant concentration of up to 1020 cm-3 is the optimal value for maximum gain. However, in-situ Phosphorus (n-type) doping during Ge epilayer growth using Ultra High Vacuum Chemical Vapor Deposition (UHVCVD) is limited to dopant concentrations of 1.2×1019 cm-3. This limit is a consequence of the trade-off between out-diffusion of P during the growth process and the Ge growth temperature required to obtain high quality films. To achieve higher active doping concentrations in Ge films, non-thermal equilibrium techniques are preferred.
The first demonstration of an electrically pumped Ge laser relied upon delta doping to achieve the high active dopant concentration required for lasing. Here we will discuss results investigating a potentially more scalable doping method to achieve higher active dopant concentration: a combination of ion implantation and nanosecond Pulsed Laser Melting (PLM). Ion implantation can introduce a high impurity concentration into the Ge. Non-equilibrium nanosecond PLM can be used to recover lattice crystallinity while preserving and activating high dopant concentration.
In this work, we report the fabrication of tensile strained epi-Ge layers co-implanted with phosphorus doses of 1.8×1015 cm-2 and fluorine doses of 1014 cm-2 and PLM processed at different fluences with a nanosecond 355 nm Nd:YAG laser. F was used to passivate the vacancies and prevent the vacacy-dopant complexes (E-center) that are known to be an important inhibitor of the dopant activation. We present ion implantation and laser induced melting simulations of the Ge films. We show by means of Scanning Electron Microscopy and Raman spectroscopy a high lattice recovery after PLM processes. However, Raman spectroscopy shows a significant loss of epi-Ge layer strain after PLM. We detect local vibrational modes related with substitutional P sites. This peak has been observed previously only for P dopant concentrations between 2×1020 cm-3 and 1021 cm-3. Photoluminescence (PL) measurements provide further information on the active dopant concentration by determining bandgap narrowing (BGN) due to the high n-type doping.
4:30 AM - GG8.07
Room-Temperature, Large Transient Optical Gain from the Direct Bandgap of High Crystallinity GeSn Grown on Amorphous Layers
Xiaoxin Wang 1 Haofeng Li 1 Jifeng Liu 1
1Dartmouth College Hanover United States
Show AbstractGe-on-Si has become an interesting candidate for monolithic active photonic devices on Si due to its pseudo-direct gap behavior and compatibility with Si complementary metal oxide semiconductor (CMOS) technology. In recent years, Ge-on-Si lasers band-engineered by tensile strain and n-type doping have been developed as light sources for integrated Si photonics [1][2]. The remaining challenges are: (1) further improving material properties and device performance by band engineering towards a more direct band gap material; (2) Develop fabrication process towards large-scale integration. To address the first question, the incorporation of Sn atoms into Ge can achieve a direct bandgap material. Recently optically pumped lasing has been demonstrated at temperatures less than 90K from direct-bandgap GeSn grown on Ge/Si(001)-virtual substrates [3]. For the second question, 3D photonic integration on Si is ideal, moving all the photonic components to the metal/dielectric interconnect level well above the CMOS layer using back-end-of-line (BEOL) processing. The costs would be greatly reduced if, high crystallinity Ge can be fabricated on low-cost amorphous substrates like glass at <500C. A new method is developed to incorporate Sn into Ge to enhance crystallization and optoelectronic properties simultaneously. In our previous work, high crystallinity GeSn substitutional alloy thin films with up to 9 at.% Sn are directly grown on amorphous SiO2 layers at low crystallization temperatures of 370~470 °C for potential applications in 3D electronic-photonic integration on Si [4]. In this work, we investigate room-temperature ultrafast optical gain of high crystallinity, 300 nm-thick Ge0.91Sn0.09 on 10 nm SiO2 on a double side-polished Si as the function of the incident 70 fs pulse intensity at the wavelength range of 1900~2400 nm. The injected carrier density is varied between 1018 and 1020/cm3. Optical transparency was obtained at 3×1019/cm3 injection level. A large transient net gain of 5000/cm at lambda;=2280 nm is observed from the direct bandgap of Ge0.91Sn0.09 at 1020/cm3 injection level. Further considering that we have demonstrated better material quality and higher Sn composition (up to 11 at %) in patterned GeSn on dielectric layers [4], these results show high crystallinity, direct gap GeSn grown on amorphous layers is a promising optical gain media compatible with 3D photonic integration on Si [5].
[1] R. E. Camacho-Aguilera, Y. Cai, N. Patel, J. T. Bessette, M. Romagnoli, L. C. Kimerling, and J. Michel, Opt. Express. 20, 11316 (2012).
[2] R. Koerner, M. Oehme, M. Gollhofer, et al, Optics express, 23, 14815 (2015)
[3] S. Wirths, R. Geiger, N. von den Driesch, et al Nature Photonics 9, 88 (2015)
[4] H. Li, J. Brouillet, A. Salas, X. Wang and J. Liu, Opt. Mater. Express 3, 1385 (2013).
[5] H Li, J Brouillet, X Wang, J Liu, Appl. Phys. Lett. 105, 201107 (2014)
4:45 AM - GG8.08
Hot-Electron Tunneling Plasmonic Si-Based Plasmonic Light Source
Hasan Goktas 1 Volker J. Sorger 1
1George Washington Univ Washington United States
Show AbstractHere we report on an experimental Silicon-based plasmonic light source that relies on tunneling electrons. The physical principle of light emission is based on inelastic scattering of hot electrons after tunneling through a thin insulating layer. This light-emitting tunnel junction utilizes a combo cavity comprised of a photonic crystal nano-cavity and a sub-wavelength plasmon hybrid mode towards achieving enhanced light-matter-interactions. We demonstrate that the electro-luminescence efficiency is optimal for a metal thickness close to the skin-depth for visible and near infrared frequencies. Furthermore a grating increases the out-coupling efficiency by more than two orders of magnitude over a rough scattering metallic surface. Electrically this device is intriguing since the temporal modulation bandwidth is not capacitive limited, but depends on the tunnel barrier thickness. Thus, a THz fast modulation dynamic is expected from analyzing the tunnel current for sub 1-nm thin tunnel barriers. All measurements were carrier out by electrically driving the device under ambient conditions at room temperature. In conclusion, the demonstration of a Silicon-based light source with ultrafast modulation capability and minuscule footprint shows potential for next generation on-chip sources for optical interconnect applications. However some intriguing questions are still open by the time of this paper submission such as experimentally verifying the modulation bandwidth, determining the wall-plug efficiency of the device, and we are positive to provide such details at the conference.
5:00 AM - GG8.09
Lateral Size-Dependent Excitonic Properties of Colloidal Quantum Wells
Yusuf Kelestemur 1 Murat Olutas 1 2 Burak Guzelturk 1 Savas Delikanli 1 Aydan Yeltik 1 Hilmi Volkan Demir 1 3
1Bilkent University Ankara Turkey2Abant Izzet Baysal University Bolu Turkey3Nanyang Technological University Singapore Singapore
Show AbstractColloidal quantum wells, also known as nanoplatelets (NPLs), have recently arisen as highly attractive materials for colloidal optoelectronic devices including light-emitting diodes and lasers. [1] With the colloidal synthesis of NPLs having well-defined vertical thicknesses and atomically-flat surfaces, they exhibit thickness-tunable and narrower emission behavior (< 8 nm) with suppressed inhomogeneous broadening. In addition, they feature giant oscillator strength with their ultrafast fluorescence lifetime. Moreover, with the ease of colloidal synthesis, different architectures of NPLs having vertically grown shells [2] and/or laterally grown crowns [3] can be synthesized and higher quantum yield, enhanced absorption cross-section and suppressed Auger recombination can be achieved which are highly crucial for device performances. Therefore, to achieve superior device performances, excitonic properties of various kinds of NPLs having different thicknesses (3 ML, 4 ML and 5 ML), different architectures (core/shell and core/crown) and different electronic structures (Type-I and Type-II) have been studied extensively. However, the lateral-size dependency of excitonic properties in these material systems has not been investigated yet.
In this study, we have studied for the first time the lateral-size dependent excitonic properties of four-monolayer (4 ML) thick CdSe-core NPLs. [4] We found that the photoluminescence quantum yield of these NPLs is dramatically decreased from 76.8% to 33.3% with increasing lateral size. To understand this behavior, we analyzed emission decay kinetics of these NPLs by using time-resolved fluorescence spectroscopy. We observed that due to the increased nonradiative recombination rates, decay rates were found to strongly accelerate with increasing lateral size and it results in decreased photoluminescence quantum yield. Also, we have studied optical gain performances of these NPLs under both one-photon and two-photon absorption optical pumping (1PA and 2PA). Under 1PA optical pumping, CdSe NPLs having larger lateral sizes exhibit higher optical gain thresholds due to the increased number of defect states. On the other hand, under 2PA optical pumping, CdSe NPLs having larger lateral sizes exhibit lower optical gain thresholds. This can be attributed to their increased two-photon absorption cross-section by increasing the lateral size of NPLs. Also, when compared to other class of semiconductor nanocrystals, they exhibit giant two photon absorption cross section which was measured as high as 2.25 106 GM. Finally, with these critical findings, we can conclude that lateral dimensions of NPLs are also highly critical in the resulting excitonic properties, in addition to the vertical thicknesses.
[1] S. Ithurria, et al., Nat. Mater., 12, 936-4, 2011.
[2] B. Mahler, et al., J. Am. Chem. Soc., 45, 18591-8, 2012.
[3] B. Guzelturk, et al., ACS Nano, 8, 6599-6605, 2014.
[4] M. Olutas, et al., ACS Nano, 9, 5041-5050, 2015.
5:15 AM - GG8.10
The Excitonic Control in Artificial Atoms, Their Composites, and Solid-State Light-Emitting Devices
Hee Jae Lee 1 Byungkwon Jang 1 Seonghoon Lee 1
1Seoul National Univ Seoul Korea (the Republic of)
Show AbstractThe opportunities of the new creation of lots of advanced functional materials and the control of charge carriers or photons through them based upon elementary nanobuilding blocks called gigantic artificial atoms are enormous. The various artificial atoms with constituent interaction ranging from ionic to covalent are generated by wet chemical methods. The nanoscopic phenomenon of quantum confinement effect in artificial atoms allows us to control excitonic energies by tuning their sizes and understand broad absorption and narrow emission of light, phonon bottleneck, and energy transfer. The creation, recombination, annihilation, and separation, transport of excitons are investigated in artificial atoms-embedded conducting matrix. The thin flexible highly bright, efficient, low-voltage driven red, green, blue, and natural white light-emitting devices (R/G/B/white-LEDs), enabled by the direct exciton formation within gigantic artificial atom-embedded active layers in a conducting polymer matrix, have been demonstrated. The luminances of red-, green-, and blue-emitting devices are 23040, 218800, and 2250 cd/m2 at the maximum, respectively. In addition, the external quantum efficiencies (EQEs) of the RGB devices were 7.3, 5.8, and 1.7%, respectively. White-QLEDs with a luminance of 5,000 cd m-2 at an applied bias less than 6 V and external quantum efficiency of 1% or more have been successfully realized. Genuine UV-emitting QLEDs with a 2.5-nm-sized CdZnS ternary core and a ZnS shell were achieved. The irradiance is as high as 2.0minus;13.9 mWcmminus;2 at the peak wavelengths of 377~390 nm, several orders of magnitude higher than that of any other thin-film UV LEDs developed so far.
GG9: Poster Session II: Emerging Materials and Platforms for Optoelectronics II
Session Chairs
Tuesday PM, December 01, 2015
Hynes, Level 1, Hall B
9:00 AM - GG9.01
Terahertz Driven Electroluminescence
Brandt Pein 1 Wendi Chang 1 Harold Hwang 1 Vladimir Bulovic 1 Keith A. Nelson 1
1Massachusetts Institute of Technology Cambridge United States
Show AbstractAlq3, the prototypical electroluminescent material used in organic light-emitting diodes, or semiconductor CdSe-CdS core-shell quantum dots were deposited on terahertz (THz) field enhancing gold microslits and irradiated with picosecond THz pulses. In this configuration we have demonstrated that both materials emit visible light capable of being detected with conventional visible light sensors. This could have far reaching implications to THz imaging and detection for which there are many compelling applications. For example, THz radiation can transmit through many materials, uniquely identify specific compounds by their THz absorption spectra, and carry high data rates. These properties enable new opportunities in a broad range of fields including security scanning, standoff detection of hazardous materials, biomedical imaging, quality control, and ultra-high bandwidth communications.
We have quantified the THz-driven electroluminescent properties of these materials in two ways. 1.) By using a streak camera to measure the transient luminescence of THz excited quantum dots and Alq3, we observed nanosecond-scale decay components that are fast compared to optically excited samples as well as spectral broadening at the earliest times. 2.) Using a PMT, we observed a nonlinear dependence of the total luminescent intensity on incident THz peak field strength. In these experiments, the microslit enhanced THz field strength exceeds 1 MV/cm. Previous work employing similar field strengths has demonstrated nonlinear control of semiconducting materials where electrons are accelerated to multi-eV energies leading to processes such as field ionization and impact ionization and it is likely that similar processes are occurring in the work presented here.
9:00 AM - GG9.02
Strong Light-Matter Coupling in the Mid-IR, Using Dipole Allowed Organic Vibronic Transitions
Merav Muallem 1 Alex Palatnik 1 Gilbert Daniel Nessim 1 Yaakov Raphael Tischler 1
1Bar-Ilan University Ramat Gan Israel
Show AbstractMicrocavity devices exhibiting strong light-matter coupling behavior in the mid-IR spectral range offer the potential to explore exciting open physical questions pertaining to the interplay between heat and radiation and can lead to a new generation of efficient tunable mid-IR sources of coherent light based on polariton Bose-Einstein Condensation (BEC). Vibrational transitions of organic molecules, which can have strong absorption peaks and considerably narrower linewidth than organic excitonic resonances, were recently shown to exhibit polaritonic resonances in the mid-IR spectral range using microcavity devices composed of metallic mirrors [Shalabney et al., Nat. Comm. 6, 5981 (2015); Long and Simpkins, ACS Photonics, 2, 130-136 (2015)]. Dielectric mirrors can significantly reduce optical losses, but for mid-IR wavelength coatings, substrate heating is typically required to relieve layer stresses, thus prohibiting deposition on most organics.
Here we show strong light-matter coupling using low optical-loss dielectric mirrors grown directly on organic layers without substrate heating. Using polymethyl methacrylate (PMMA) films, we coupled the 0.214 eV vibration of the carbonyl group of 3.65 meV linewidth to a photon resonance of mid-IR microcavity of 5.5 meV linewidth. The microcavity consists of two Ge/ZnS dielectric Bragg reflectors (DBRs), grown via thermal evaporation with Ge and ZnS serving as the high and low refractive index layers of the coatings. Thermally evaporated Ge/ZnS DBRs without the use of substrate heating are compatible with deposition directly on top of organic layers, and are optically suitable for covering the mid-IR chemical fingerprint spectral range of most organic materials.
The transmission spectrum from the PMMA cavity clearly shows two distinct transmission peaks at 0.207 eV (1669 cm-1) and 0.222 eV (1790 cm-1) with a FWHM of 4.4 meV and 4.8 meV, respectively. These two peaks are energetically shifted from the bare phonon and bare cavity resonance, indicating the presence of new strongly coupled eigenstates. The fit to a coupled oscillator model reveals a Rabi splitting of 14.3 meV. The large Rabi-splitting relative to linewidth indicates efficient impedance-matching between bare vibration and photon states, and suggests molecular-vibration polaritons incorporated in dielectric microcavities can enable realization of mid-IR optical switching and polariton condensation with lower activation threshold.
9:00 AM - GG9.03
Synthesis of In0.1Ga0.9N/GaN PIN Photodiode Grown by MBE and MOCVD for High Speed Optoelectronic Devices: Fabrication and Characterization
Bandar Alshehri 1 Karim Dogheche 1 Sofiane Belahsene 2 Tien-Khee Ng 3 Abderrahim Ramdane 2 Boon S. Ooi 3 Elhadj Dogheche 1
1IEMN-CNRS Villeneuve D'Ascq France2CNRS-LPN Marcoussis France3King Abdullah University of Science and Technology Thuwal Saudi Arabia
Show AbstractIn this work, we report a comparative investigation of both InxGa1-xN single layer (SL) and InxGa1-xN/GaN multiple quantum well (MQW) PIN photodiodes (PDs) with an indium content equivalent to x=10%. Both structures are grown on (0001) sapphire substrates using two means of growth, molecular beam epitaxy (MBE) and metal organic chemical vapor deposition (MOCVD) [1]. Microstructures of MBE & MOCVD samples have been compared in terms of dislocations, morphology and crystalline properties. The microstructural investigation has been achieved using X-Ray Diffraction (XRD), Atom Force Microscopy (AFM) and Scanning Transmission Electron Microscopy (STEM) [2] [3]. We focus here on the design and the fabrication of a photodiodes operating in visible wavelength range. Different configurations for photodiodes have been fabricated (sizes ranging from 5µm to 200µm) and the technological processes are optimized including dry etching processes for patterning GaN/InGaN layers and contact deposit of p and n type [4]. Fabricated photodiodes of both growth methods have been electrically characterized. This study demonstrates the benefit of high-efficiency of InGaN/GaN heterostructure of both MBE and MOCVD methods in order to achieve a high quality of materials. A multiple quantum well structure offers the prospect to increase the indium composition and the intrinsic active region. Technological process has been developed in order to perform high frequency devices (up to 60 GHz) for high speed optoelectronic devices. Integration of Antenna is in progress for the imaging sensor prototype and static - dynamic characterizations.
[1] C. B. Soh, W. Liu, J. H. Teng, S. Y. Chow, S. S. Ang, and S. J. Chua, “Cool white III-nitride light emitting diodes based on phosphor-free indium-rich InGaN nanostructures,” Appl. Phys. Lett., vol. 92, no. 26, p. 261909, 2008.
[2] A. Gokarna, A. Gauthier-Brun, W. Liu, Y. Androussi, E. Dumont, E. Dogheche, J. H. Teng, S. J. Chua, and D. Decoster, “Optical and microstructural properties versus indium content in InxGa1minus;xN films grown by metal organic chemical vapor deposition,” Appl. Phys. Lett., vol. 96, no. 19, p. 191909, 2010.
[3] R. Liu, J. Mei, S. Srinivasan, F. a. Ponce, H. Omiya, Y. Narukawa, and T. Mukai, “Generation of misfit dislocations by basal-plane slip in InGaN#8725;GaN heterostructures,” Appl. Phys. Lett., vol. 89, no. 20, p. 201911, 2006.
[4] S. Belahsene, G. Patriarche, D. Troadec, S. Sundaram, A. Ougazzaden, A. Martinez, and A. Ramdane, “Microstructural and electrical investigation of Pd/Au ohmic contact on p-GaN,” J. Vac. Sci. Technol. B, Nanotechnol. Microelectron. Mater. Process. Meas. Phenom., vol. 33, no. 1, p. 010603, Jan. 2015.
9:00 AM - GG9.04
Anisotropic Growth of Semipolar InGaN/GaN Quantum Wells on Si (111) Facets of Non-Planar V-Grooved Si (100) Substrate due to Effect of MOCVD Precursor Flow Direction within V-Grooves
Shiju Li 1 2 Kwadwo Konadu Ansah-Antwi 1 2 Hongfei Liu 2 Soo Jin Chua 1 2
1National University of Singapore Singapore Singapore2Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research) Singapore Singapore
Show AbstractWe report growth of InGaN/GaN (10-11) quantum wells on semipolar GaN strip grown on non-planar v-grooved Si (100) substrate platform, which exhibits anisotropic growth on different sidewalls of V-grooves, as a result of varying alignment direction of v-grooves with respect to the MOCVD precursor flow. Single facet growth is observed when the alignment is perpendicular, and this can be utilized for selective area growth of GaN on Si for higher efficiency semipolar LEDs. In order to clarify the effect of MOCVD gas flow on anisotropic growth morphology within Si substrate V-grooves, MOCVD growth kinetics and dynamics are simulated in COMSOL environment. Two-dimensional diffusion equation is solved for precursor gases. Parameters like ratio of diffusion coefficient to the surface reaction rate constant, D/k, are calculated. Furthermore, computational fluid dynamics (CFD) is simulated to elucidate the effect of rotation on the distribution of precursors within V-groove depressions on silicon substrate, within rotating-disk MOCVD growth chamber. The results are in reasonable agreement with experiments. Simulation indicates that alignment of V-grooves to precursor flow, as well as the relative magnitude of surface depression to surface opening on non-planar substrate, dictates the extent of anisotropic growth. In CFD simulation, rotating effect causes precursor gas to accumulate to greater extent on facets perpendicular to precursor flow, inducing greater sticking probability and growth. Simulation is also performed on Si substrate patterned with inverted pyramid. Our study models GaN growth on non-planar Si substrate, clarifying the distinct effect of precursor flow on growth morphology compared to planar substrate. This would facilitate future efforts in designing structures on emerging GaN-on-Si platform for optoelectronic applications.
9:00 AM - GG9.05
Metal-Transition Doped ZnO Films Obtained by Spray-Pyrolysis Technique
Yina Julieth Onofre Ramirez 1 Sabrina Lara dos Reis 1 Ariano de Giovanni Rodrigues 1 Marcio Peron Franco de Godoy 1
1Universidade Federal de Sao Carlos Satilde;o Carlos Brazil
Show AbstractWide bandgap semiconductors are strategic systems for high power electronics, transparent electrodes and recently it becomes interesting due to their spintronic properties as diluted magnetic semiconductors (DMS). To introduce magnetic properties as well as decrease the optical bandgap, metal transition (MT) doping is an attractive topic to be developed. Doping processes in oxides are strongly dependent on synthesis method. A versatile production process is the spray pyrolysis technique. We produced polycrystalline ZnO thin films by pulverization of a solution consisting of dehydrated zinc acetate (Zn(CH3COO)2 middot; 2H2O) in distilled water. The solution with molarity 4x10-3 allow us the growth of films on glass substrates at 220oC.
We investigated Co and Cd doping using as precursors the corresponding acetates. Co-doped ZnO have been recognized as promising materials in the field of dilute magnetic semiconductors and Cd-doped ZnO allows the bandgap reduction to the visible optical range. The structural, morphological and optical properties of Zn1-xCoxO and Zn1-xCdxO thin films were studied by X-rays diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and optical absorption/transmission measurements. For Co-doped system, the XRD patterns correspond to ZnO wurtzite phase, indicating the absence of secondary phases, with the c-axis as a preferential growth direction. Crystallite size varied in the range between 15-20nm, decreasing as doping concentration increases. SEM of some doped films presented wrinkled network structures. The observed optical absorption peaks at 566, 611 and 658 nm correspond to the d-d optical transitions, which reveals that the added cobalt atoms have substituted Zn+2 ions in the ZnO lattice and present the +2 state. None absorption peaks corresponding to cobalt oxides were observed. Our results indicated successful incorporation of Co2+ ions into the lattice position of Zn2+ ions in the host lattice. For Cd-doped system, the difference in structural phases (hexagonal and cubic) shows a film formed by the presence of segregated phases of ZnO and CdO. We present a study as a function of growth temperature and Cd composition. Transmitance measurents show a reduction in the band-edge absorption. Raman spectroscopy reveals a usefull tool to complement the study of introduced defects.
Acknowledgments: CAPES, FAPESP and CNPq-PIBIC.
GG6: 2D Materials and Optoelectronic Devicesmdash;Graphene Optoelectronics
Session Chairs
Tuesday AM, December 01, 2015
Hynes, Level 1, Room 103
9:15 AM - GG6.01
Hybridization and Bandwidth Engineering of Graphene Plasmons by Layering
Damon Farmer 1 Daniel Rodrigo 2 Tony Low 3 Phaedon Avouris 1
1IBM T.J. Watson Research Center Yorktown Heights United States2Eacute;cole Polytechnique Feacute;deacute;rale de Lausanne Lausanne Switzerland3University of Minnesota Minneapolis United States
Show AbstractGraphene has emerged as a promising candidate for plasmonic applications in the terahertz to mid-infrared, the spectral region where its plasmonic resonance typically resides. Interest in graphene plasmonics is due to the unique tunability, long plasmon lifetime, and high degree of electromagnetic confinement exhibited by graphene. It has been shown that field enhancement afforded by graphene plasmonic nanostructures facilitates detection of vibrational modes in surface-adsorbed chemical compounds. Inherent to this detection is the coupling of the graphene plasmon to the vibrational mode of interest, where it is ideal to have the plasmon resonance match the vibrational frequency in order to attain optimal coupling. Attaining this alignment can be challenging, and it is therefore desirable to increase the bandwidth of the plasmon resonance, thus increasing the likelihood of frequency matching. To this end, graphene plasmonic structures with long-range layering periodicity are presented. Resonance energy scaling with the number of graphene layers involved in plasmonic excitation allows these structures to support multiple plasmonic modes that couple and hybridize due to their physical proximity. Hybridized states exhibit bandwidth enhancements of 100% - 200% compared to unhybridized modes. Furthermore, resonance energies deviate from what is usually observed in coupled plasmonic systems. Origins of this behavior are discussed and experimental observations are computationally modeled. This work is a precursor and template for the study of plasmonic hybridization in other two-dimensional material systems with layering periodicity.
9:30 AM - GG6.02
Graphene- and Transition-Metal Dichalcogenide-Based Dipolariton Devices for Optoelectronics
German V Kolmakov 1 Tim Byrnes 2 Roman Ya Kezerashvili 1
1NYC College of Technology CUNY Brooklyn United States2New York University Shanghai Shanghai China
Show AbstractWe present our recent results on the design of optical nanodevices to be used in optical integrated circuits for information transfer and processing. In our approach, we utilize dipolaritons, which are a three-way superposition of photons, direct excitons and an indirect excitons in gapped graphene layers embedded an optical microcavity. Electrons in the exitons tunnel between two neighboring graphene layers that results in uncompensated electric charge in the layers. The latter enables one to drive the dipolaritons by an external electric field or voltage applied to graphene. Owing to a small effective mass of cavity dipolaritons, ~0.1% of the free electron mass, Bose-Einstein condensation of dipolaritons can occur at relatively high temperatures that can be comparable with the room temperature. In the proposed setup, a dipolariton condensate propagates in quasi-one dimensional channels in a patterned microcavity. Dipolariton optical switches and transistors based in a Y- and Psi-shaped channels in the microcavity are considered and their performance is determined via numerical simulations of the dipolartion condensate dynamics. In our studies, we numerically integrate the driven-dissipative nonlinear Gross-Pitaevskii equation for the dipolariton condensate wave function, in which a continuous pumping and dipolariton decay are taken into account. We discuss the tunability of the system with embedded graphene layers by dynamically changing the band gap in graphene by an external, normal electric field. We compare the results obtained for graphene with those for monolayer transition-metal dichalcogenide-based devices.
9:45 AM - GG6.03
Design of Graphene-Based Optical Modulators via Tuning of Surface Plasmon Resonance and Dielectric Constants
Shaloo Rakheja 1
1New York University New York United States
Show AbstractWhile graphene exhibits a uniform absorption of 2.3% in optical frequency range and a relatively low frequency and Fermi level dependent absorption in the THz regime, it is possible to engineer graphene-based heterostructures that offer enhanced light-matter interaction with superior absorption coefficient over a broad frequency spectrum. Such tunability of the absorption coefficient provides a route toward highly efficient graphene-based photo detectors and modulators. In this work, we employ a two-part strategy to tune light absorption and demonstrate superior optical characteristics for graphene-based devices. We consider 1) a graphene sheet embedded in a dielectric environment and 2) utilize the low-loss and highly-tunable surface plasmons to boost the local electromagnetic field and absorption spectrum. We show, using a transfer matrix approach (the transfer matrix is surface conductivity dependent), that when graphene is sandwiched between two dielectrics, “control” parameters such as frequency of incident light, ratio of dielectric constants (εl /εr = γ), Fermi level, and the angle of incidence can enhance the absorption in the THz regime up to 70 %. In particular, the absorption increases as the ratio of dielectric constants γ assumes a higher value until the incident light beam suffers total internal reflection (TIR) at the graphene-dielectric interface. From this, we derive conditions for TIR and the analog of Brewster&’s angle for graphene photonics. As another possible alternative to enhanced absorption, graphene is coated on a dielectric nanosphere to excite localized surface plasmons when resonance occurs at the frequency of incident electromagnetic radiation. We choose nanospheres for easier coupling [2] of the wave vector of incident light and excited surface plasmons. To obtain the absorption coefficient, the incoming plane wave is expanded as a sum of Bessel&’s functions and by inserting it in a radial form of the Dirac Hamiltonian (which represents graphene) with appropriate Maxwell&’s boundary conditions for electric and magnetic fields, an analytic form of the wave function scattered from the nanosphere is derived. The amplitude of the wave function allows us to obtain the absorption spectrum. We find that at specific wavelengths (in the THz regime) and Fermi level for graphene, the absorption spectrum shows a peak absorption corresponding to plasmon resonance. As in the case of graphene embedded in dielectric, the absorption based on plasmon resonance can also be controlled by selecting a frequency, the scattering rate of carriers on graphene surface, and temperature. In passing, we mention that this mode of plasmon-based absorption is viable only for TM waves. TE waves do not support [3] surface plasmon modes in the THz regime.
[1] J. Christensen et al., ACS Nano, vol. 6, no. 1, 2012.
[2] B. Zhu et al., Optics Communications, Vol. 308, pp 204-210, 2013.
[3] Y.V.Bludov et al., Inter. Jour of Mod Phys B, Vol. 27, No. 10 2013.
10:00 AM - GG6.04
Tunable Hyperbolic Metamaterials Based on Multilayer Graphene/Dielectric Structures
Michelle Sherrott 1 Georgia Theano Papadakis 1 Philip Hon 2 Luke A. Sweatlock 2 Pochi Yeh 3 Harry A. Atwater 1
1California Inst of Technology Pasadena United States2Northrop Grumman Aerospace Corporation Los Angeles United States3University of California Santa Barbara Santa Barbara United States
Show AbstractOptical metamaterials have revealed extraordinary physical phenomena like negative refraction for super-resolution imaging, extreme Purcell factors and near-zero response for slow light applications. Hyperbolic metamaterials (HMMs), a class of extremely anisotropic metamaterials with hyperbolic dispersion provide a platform for such effects to be demonstrated. They also support epsilon-near-zero (ENZ) regions, allowing for topological transitions in photonic systems.[1] Active tuning of their electromagnetic properties is fundamental for gaining control over those phenomena and enables dynamical study of topological transitions and band structure engineering in optical systems.
Graphene is an interesting material to incorporate into HMMs due to its 2D nature and tunable optical properties through Fermi level tuning. In this work, we design a hyperbolic metamaterial out of alternating layers of graphene and 100nm thick SiO2 layers. Through a parameter retrieval method [2] we calculate the electric permittivity and magnetic permeability along all coordinate directions and demonstrate that they are highly tunable upon doping of the graphene layers from its charge neutral point (CNP) to a Fermi energy (EF) of 0.45eV. This leads to active control and tuning of the effective birefringence, dichroism, and figure of merit. Strong absorption of SiO2 at 8.5um also yields a broadband tunable ENZ region along the in-plane direction, while the out-of-plane permittivity remains dielectric-like. Thus, we are able to actively probe transitions of the dispersion surface between elliptical and hyperbolic.
We fabricate a unit cell of this structure (graphene/100nm SiO2/graphene), and characterize its optical properties using mid-infrared spectroscopic ellipsometry. By minimizing the mean square error between theory and experiment, we infer the Fermi energies and mobilities of the constituent graphene sheets. Calculations are further verified by comparison to FTIR reflectance measurements, which show a resonant feature at 8.5um attributable to the layered structure. We will show that under applied bias, ellipsometry data and fitting reveals a tunable permittivity and permeability, and therefore this structure serves as a tunable HMM.
1: A. Poddubny, I. Iorsh, P. Belov and Y. Kivshar, Nature Photonics 7, 948-957 (2013)
2: G. T. Papadakis, P. Yeh and H. A. Atwater, Physical Review B, 91, 155406 (2015)
10:15 AM - GG6.05
Nanoscale Spatial Conductivity Modulation of Graphene for Effective pn-Junction Formation and Tunable Mid-Infrared Plasmonics
Cheng Peng 1 Dmitri K. Efetov 1 Ren-Jye Shiue 1 Sebastien Nanot 2 Frank H.L. Koppens 2 Dirk Englund 1
1Massachusetts Institute of Technology Cambridge United States2ICFO - Institut de Cieacute;ncies Fotoacute;niques Barcelona Spain
Show Abstract
The tunability of graphene&’s Fermi level and optical conductivity is essential to many applications in optoelectronics and photonics, including optical interconnects, sensing and signal processing. Of the two means to modify the conductivity - electrical gating and chemical doping - the electrical gating method is more commonly used in optoelectronic applications due to the ability to control in situ the local carrier concentrations. Although improvements in graphene photodetection, modulation and metamaterials have been demonstrated using existing electrical gating techniques, various challenges still exist, one of them being the inability to form local nanometer-scale conductivity gates, which is important for creating high performance tunable nanoscale metamaterials, sharp pn-junctions for graphene photodetection, and graphene active plasmonics based on nanoscale photonic components, among other applications.
Here we report a novel double-gated graphene device geometry that is capable of creating nanoscale spatial conductivity modulation on a single-layer unpatterned graphene. A typical such device consists of CVD graphene on a Si substrate with a global oxide back-gate, combined with 100-nm-scale electrolyte top-gates enabled by a patterned resist soft mask. Electrical resistance characterization and photocurrent mapping of the fabricated devices confirm the spatial modulation of the carrier concentration and the formation of pn-junctions according to the fabricated patterns. The flexible double-gate tunability and the accurate e-beam nanostructure patterning, combined with the high carrier concentration (on the order of 1014 cm-2) in graphene enabled by the electrolyte top-gate, open a new venue for tunable mid-infrared graphene plasmonics and for advanced graphene photonics including modulation, complete absorption, sensing, and nonlinear optics.
10:30 AM - GG6.06
Graphene-Enabled Terahertz Spatial Light Modulators
Nurbek Kakenov 1 Taylan Takan 2 Vedat Ali Ozkan 2 Osman Balci 1 Emre Ozan Polat 4 Seung Hyun Hur 3 Hakan Altan 2 Coskun Kocabas 1
1Bilkent University Ankara Turkey2Middle East Technical University Ankara Turkey3University of Ulsan Ulsan Korea (the Republic of)4University of Glasgow Glasgow United Kingdom
Show AbstractIn this work, we demonstrate a broadband terahertz spatial light modulator using 5x5 arrays of large area graphene capacitor structures. Our approach relies on controlling spatial charge distribution on a passive matrix array of patterned graphene electrodes. By changing the voltage bias applied on the rows and columns we were able to reconfigure the terahertz transmittance through the device with high modulation depth at low operational voltage. Simplicity of the device architecture, broad spectral response and high contrast terahertz modulation are the key attributes of our terahertz spatial light modulator.
10:45 AM - GG6.07
Organicminus;Inorganic Heterointerfaces for Ultrasensitive Detection of Ultraviolet Light
Jian Gao 1 Dali Shao 1 Nikhil Koratkar 1 Shaya Sawyer 1
1Rensselaer Polytechnic Inst Troy United States
Show AbstractThe performance of graphene field-effect transistors is limited by the drastically reduced carrier mobility of graphene on silicon dioxide (SiO2) substrates. Here we demonstrate an ultrasensitive UV phototransistor featuring an organic self-assembled monolayer (SAM) sandwiched between an inorganic ZnO quantum dots decorated graphene channel and a conventional SiO2/Si substrate. Remarkably, the room-temperature mobility of the chemical-vapor-deposition grown graphene channel on the SAM is an order-of-magnitude higher than on SiO2, thereby drastically reducing electron transit-time in the channel. The resulting recirculation of electrons (in the graphene channel) within the lifetime of the photo-generated holes (in the ZnO) increases the photoresponsivity and gain of the transistor to ~108 A/W and ~3×109, respectively with a UV to visible rejection ratio of ~103. This is by far the best photoresponsivity and gain reported to date for a UV photodetector. Our device manufacturing is also compatible with current semiconductor processing, and suitable for large-volume production.
GG7: Transparent Conductors
Session Chairs
Tuesday AM, December 01, 2015
Hynes, Level 1, Room 103
11:30 AM - GG7.01
Solution-Grown Transparent Metal Nanowire Network Electrodes
Beniamino Sciacca 1 Jorik Van De Groep 1 Albert Polman 1 Erik C. Garnett 1
1FOM Inst AMOLF Amsterdam Netherlands
Show AbstractThe realization of high-quality transparent conductors is a key process in the optoelectronic industry, with implications on the photovoltaic, organic light emitting diodes and displays market. We demonstrate the realization of large-area transparent conductors, based on a regular silver nanowire mesh, obtained by a soft solution process at room temperature in aqueous environment, combined with substrate conformal imprint lithography (SCIL). We show that this procedure allows to replace the use of high-vacuum technologies required in the conventional evaporation step used for metal deposition, with a low cost solution process, while producing high-quality transparent conductors that allows to engineer optical modes through the regular pattern produced in the nanoimprint step.
First, we use the SCIL technique to fabricate large area nanowire networks on glass substrates, with different NW width (55-130 nm) and pitch (300-1000 nm).
Next, we demonstrate the growth of silver nanowire in the SCIL pattern employing a solution process, the Tollen&’s reaction. Briefly, silver-ammonia complexes Ag(NH3)2)+ are reduced by a sugar (glucose) to form solid silver directly on the substrate, resulting in a continuous and conductive network, in a time scale of few minutes. We show that by tuning the growth time (6 to 8 min), NW with different thicknesses (and therefore resistance/transmission) can be obtained.
We show that with this solution process it is possible to achieve better sheet resistance compared to grids obtained by metal evaporation (7.1 Omega;/sq vs 13 Omega;/sq at 80.2% transmission weighted for AM1.5 photon density), which also outperforms ITO. We demonstrate that the better performances are due to the larger grain size obtained in the solution-grown nanowire grid compared to the evaporated grid, which results in a reduction of electron scattering by grain boundaries. XRD measurements provide an estimate of the crystallite size employing the Scherrer equation.
This demonstrates that by combining the imprinting technique with a solution process, it is possible to: (i) engineer the optical modes of the NW electrode to control light-matter interaction via nanophotonics; (ii) obtain outstanding electrode performances, while removing an energy intensive vacuum technology step.
11:45 AM - GG7.02
New Paradigm for Transparent Metal Contacts on Nanostructured Dielectric Surfaces
Vijay Kris Narasimhan 1 Thomas Michael Hymel 2 Ruby Lai 3 Karl A Littau 1 Yi Cui 2 4
1Intermolecular Inc. San Jose United States2Stanford University Stanford United States3Stanford University Stanford United States4SLAC National Accelerator Laboratory Menlo Park United States
Show AbstractMetal nanowire networks are effective transparent electrodes. However, when deposited onto a planar surface, the surface coverage of the metal must be minimized to avoid significant reflection and shadow losses; this is a practical limit for the minimum sheet resistance that can be achieved in this type of contact at high transparency. In many optoelectronic devices, such as solar cells and photodetectors, the transparent electrode would be deposited over a textured dielectric surface for photon management rather than a planar surface. Here, we show that by co-optimizing the dielectric's nanoscale structure and the metal nanowire network, the conventional practical limit on surface coverage can be lifted, and both high light transmission and high electrical conductivity at the interface can be achieved simultaneously. We use metal-assisted chemical etching (MACE) to create a semiconductor surface with nanopillars that are perfectly aligned through holes in a metal film. When coated with an anti-reflection layer, we observe up to 97% absorption in this type of structure with a sheet resistance of 16 #8486;/#9633;, which is remarkable considering that metal covers 60% of the top surface. Across many different combinations of materials and geometries, we show that Mie-like resonances in the nanopillars funnel light around the metal layer and into the substrate, offering a general paradigm for creating ultra-transparent metal contacts.
12:00 PM - GG7.03
Intense Pulsed Light Irradiation of PVP Capped Cu Nanowires for Flexible Transparent Conducting Electrodes
Zhaoyang Zhong 1 2 Inhyuk Kim 1 Hyewon Hwang 1 Sin Kwon 2 Young-Man Choi 2 Taik-Min Lee 2 Kyoohee Woo 2 Jooho Moon 1
1Yonsei University Seoul Korea (the Republic of)2Korea Institute of Machinery and Materials Daejeon Korea (the Republic of)
Show AbstractTransparent conducting electrodes (TCEs) based on indium tin oxide (ITO) have been widely used for various optoelectronic devices such as displays, touch screens, organic light-emitting diodes and solar cells. However, ITO film is not only mechanically brittle, but also expensive so that recently transparent electrode materials such as carbon nanotubes, graphenes and metal (ex. Ag or Cu) nanowires (NWs) networks are being studied to replace ITO. Among various alternative materials, Cu NWs are considered as one of the most promising materials because they are inexpensive, flexible, and solution-processable. Here, we successfully synthesized Cu NWs using the hydrothermal reduction method. Copper chloride (CuCl2), glucose and hexadecylamine (HDA) were used as copper precursor, reducing agent and surfactant, respectively. To obtain dispersion stability of Cu NWs in polar solvent, the ligand exchange process with polyvinylpyrrolidone (PVP) was performed to replace HDA-capped Cu NWs. Uniform and transparent Cu NWs films on flexible substrates were fabricated via simple and convenient blade-coating followed by intense pulsed light (IPL) irradiation to develop high conductivity in ambient atmosphere. The transparency and sheet resistance of the films as the light irradiation characteristics such as the pulse duration and the number of pulses were investigated. By the successful fabrication of Cu NWs films with a transmittance of > 90% and sheet resistance of < 40Omega;/sq, IPL irradiation was demonstrated to be an effective method to fabricate low cost and large area Cu NWs based transparent conducting films.
12:15 PM - GG7.04
Antioxidative Core-Shell Copper Nanowires Based Transparent Electrode
Hyewon Hwang 1 Zhaoyang Zhong 1 Areum Kim 1 Jooho Moon 1
1Yonsei University Seoul Korea (the Republic of)
Show AbstractWe present simple fabrication route of antioxidative core-shell copper nanowires for transparent electrode. Single copper nanowire is surrounded with copper lactate organic shell which protects the nanowire from oxidation. Copper lactate shell is formed by injecting lactic acid on the copper nanowires suspension. Carboxylic acid reacts with either surface copper oxide, copper hydroxide, or pure copper followed by forming copper carboxylates shell which acts as a protective layer for further oxidation. In most of polar solvent, however, the copper carboxylates are easily redissolved. The presence of the copper carboxylates is the key to maintain oxidation-resistant shell. We used diethyl ether as a reaction medium to form copper lactate shell. High performance transparent electrode was fabricated by using well-dispersed core-shell nanowires suspension through spray coating method. The core-shell nanowires network film resists in harsh oxidative condition, whereas Cu nanowires film without lactate shell degrades abruptly. Conductivity of the core-shell film was endowed by annealing at 200oC in N2 atmosphere, enabling the network formation through direct contact between copper cores, at which the copper lactate shell loses its thermal stability as the processing temperature reaches to its decomposition temperature. After the heat treatment, the Cu nanowires network film exhibited good performance with low sheet resistance (~100Omega;/sq-1) and high optical transmittance (~95% at 550 nm). Our non-oxidizable copper lactate core-shell Cu nanowires have the great advantage in fabrication of device such as composite transparent electrodes or solar cells.
12:30 PM - GG7.05
Optoelectronic Properties Of Nickel Oxide Thin Films Elaborated by Sol-Gel
Ekim Busra Sarac 1 Sahin Coskun 1 Husnu Emrah Unalan 1 Caner Durucan 1
1METU Ankara Turkey
Show AbstractTransparent semiconducting oxides are commonly employed in light emitting diodes, antistatic coatings, different types of gas sensors, solar cells, liquid crystal displays, organic light emitting diodes, supercapacitors, thermal windows and touch screens. In these applications, semiconducting oxides are used rather in the form of thin films due to their easy and affordable production processes. In this particular case, nanocrystalline nickel (II) oxide (NiO) thin films were deposited onto glass substrates by spin coating. Thin film formation and properties were systematically investigated with respect to the controllable processing variables, such as thin film thickness and calcination temperature. Microstructural, morphological and optical properties of the obtained NiO films was studied by scanning electron microscopy, X-Ray diffraction, UV-VIS spectroscopy, Raman spectroscopy, atomic force microscopy, Fourier transform infrared spectroscopy and Hall-Effect measurements. It was shown that microstructurally-controlled film formation can be readily achieved in a reproducible manner through sol-gel processing route. The transparency of sol-gel derived films, (approximately 80-200 nm thick) has been measured as 70±10% in visible region, whereas the particle size was in the range of 20-40 nm. Currently, the potential of these films as a hole injection and transport layer in organic optoelectronic devices are being explored.
Symposium Organizers
Viktoriia E. Babicheva, Georgia State University
Sasan Fathpour, University of Central Florida
Juejun Hu, Massachusetts Institute of Technology
Volker J. Sorger, The George Washington University, School of Engineering and Applied Science
GG12: Quantum Effects
Session Chairs
Viktoriia E. Babicheva
Volker J. Sorger
Wednesday PM, December 02, 2015
Hynes, Level 1, Room 103
2:30 AM - *GG12.01
Tunable Hybrid Photonics in the Classical and Quantum Optical Regimes
Harry A. Atwater 1
1California Inst of Technology Pasadena United States
Show AbstractProgress in understanding resonant subwavelength structures has fueled an explosion of interest in fundamental processes and nanophotonic devices. The carrier density and optical properties of photonic and plasmonic nanostructures are typically fixed at the time of fabrication, but tuning of the potential and carrier density enables the photonic dispersion to be altered, yielding new approaches to active control of the spectral and angular distribution of radiative emission. In particular, coupling of the geometrically determined resonant modes of nanostructures to their material-dependent epsilon-near-zero resonances yields tunability of the amplitude and phase of emitted and scattered radiation Examples from tunable graphene and conducting oxide metasurfaces and antenna arrays at near infrared and mid infrared wavelengths will be described. Finally, while plasmons are usually described in a classical electromagnetic theory context, quantum coherent states emerge under single photon excitation, as illustrated by the recently reported results from several group of quantum superposition states of two plasmons. We report new results on visiblity of coherent superposition states of single plasmons in the dispersive regime near surface plasmon resonances and implications for plasmon generation, modulation and detection in the single-to-few quanta limit.
3:00 AM - GG12.02
Wedge Waveguides and Resonators for Integrated Quantum Plasmonics
Stephan Kress 1 Felipe Antolinez 1 Patrizia Richner 1 Sriharsha Venkata Jayanti 1 David Kim 1 Ferry Prins 1 Andreas Riedinger 1 Maximilian Philipp Claus Fischer 1 Kevin McPeak 1 Dimos Poulikakos 1 David J. Norris 1
1ETH Zurich Zurich Switzerland
Show AbstractQuantum optics involves the interaction of photons and their coupling to quantum emitters. Both types of interactions (photon-photon and photon-quantum emitter) are typically very weak and constrained by the diffraction limit of light. This inhibits many single-photon optoelectronic applications. One way to circumvent this limitation is by moving to quantum plasmonics, which uses surface plasmon polaritons (SPPs) instead of photons. However, despite the advantages of this approach, quantum plasmonics has not yet been fully explored, largely due to the difficulty of creating the necessary structures. We address this problem by fabricating state-of-the-art wafer-scale plasmonic structures (waveguides and reflectors) that approach theoretical performance limits. The wafer-scale silver wedge waveguides fabricated in a facile manner show long propagation throughout the visible (19 µm at 630 nm) and have predicted ultra-long propagation lengths (for plasmonics) in the telecoms range (0.5 mm at 1550 nm) while maintaining strong confinement suitable for small-footprint on-chip optical communication (CMOS-compatible copper is predicted to show similar performance at 1550 nm). Further, by introducing reflectors we fabricate high-Q plasmonic waveguide resonators (Q~200) with ultra-low modal volumes (V~0.004 lambda;3) enabling large-bandwidth quantum optical circuits and integrated nano-lasers. Most importantly, the deep sub-diffraction confinement greatly enhances both the interaction of light with itself and with quantum emitters (particularly over long distances), which is one of the greatest challenges in quantum optics. With the presented easy-to-fabricate waveguide and resonator platform it is possible to overcome these hurdles and move towards on-chip single-photon transistors and quantum gates in integrated quantum-optical circuits.
3:15 AM - GG12.03
Bright Single Photon Emitters in Silicon Carbide
Benjamin Lienhard 1 Tim Schroder 1 Sara Mouradian 1 Florian Dolde 1 Toan Trong Tran 2 Igor Aharonovich 2 Dirk Englund 1
1MIT Cambridge United States2University of Technology Sydney Ultimo Australia
Show AbstractEfficient, on-demand, and robust single photon emitters (SPE) are of central importance to many areas of quantum information processing. Over the past 10 years, color centers in solids have emerged as excellent SPEs and have also been shown to provide optical access to internal spin states. Color centers in diamond are among the most intensively studied systems, but recently also silicon carbide (SiC) was demonstrated as an excellent host material for both SPE and optically assessable spin defects. In contrast to diamond, SiC is a technologically important material that is widely used in optoelectronics, high power electronics, and microelectromechanical systems. It is commercially available in sizes up to 6 inch and processes for device engineering are well developed. Here we report on bright and photostable, room temperature SPEs in 4H-SiC with an emission spectrum in the visible. They exhibit linear polarization in both excitation and emission. We detect up to 2 million photons/second at saturation for emitters in unpatterned bulk SiC. Low temperature measurements confirm narrow emission linewidths and a high emission ratio into the zero phonon line (ZPL) exceeding 30 percent. The reported SiC emitters - the brightest reported to date - add to the promising properties of SiC for classical and quantum information processing, including the demonstration of quantum memories and high-quality photonic and electronic devices.
GG13: 2D Materials and Optoelectronic Devices
Session Chairs
Volker J. Sorger
Sasan Fathpour
Wednesday PM, December 02, 2015
Hynes, Level 1, Room 103
4:30 AM - *GG13.01
Progress and Trends in Optoelectronics and Photonics Research
Gernot Pomrenke 1
1AFOSR Arlington United States
Show AbstractOver the last decade there has been significant progress in optoelectronics, integrated photonics, and nanophotonics which in turn has driven various US national initiatives in these areas over the last couple of years. Lead agencies in the US government for federal investments in these areas have been the DoD, NSF, DOE, NASA and NIST, with industry and small business playing important roles in advancing the technology. Key application areas that have benefited from these developments include information processing, computing, imaging, sensing, bio-sensing, and energy harvesting.
Nanophotonics continues to be an area of new discoveries utilizing nanoscale structures and phenomena to couple, transduce, or compress light at the nanoscale. It has been significantly impacted by research and investments in such areas as high-index-contrast Si and III-V nanostructures; quantum dots and wires; nano-particles in glasses, semiconductors, and polymers; plasmonics; metamaterials and metasurfaces; silicon photonics; polymers in Si and III-V nanostructures; nanomembranes and flexible electronics; and a recent push toward the integration of photonics with electronics.
The next five to ten years promise exciting advances with the further integration of photonics and electronics, devices with dramatic reductions in energy of operation, imagers with enhanced spatial resolution, sensors with increased sensitivity and specificity, and new energy harvesting solutions.
5:00 AM - GG13.02
Pressure Tuning the Lattice and Electronic Structure of Layered MoSe2
Zhao Zhao 1 Haijun Zhang 2 Hongtao Yuan 1 Shibing Wang 1 Yu Lin 1 Qiaoshi Charles Zeng 1 Gang Xu 1 Zhenxian Liu 3 G. K. Solanki 4 K. D. Patel 4 Yi Cui 1 Harold Y Hwang 1 Wendy Mao 1
1Stanford University Stanford United States2Nanjing University Nanjing China3Geophysical Laboratory Washington United States4Sardar Patel University Vallabh Vidyanagar India
Show AbstractLayered transition-metal dichalcogenides have emerged as exciting 2D material systems with atomically thin geometries and unique electronic properties. The ability to tune their crystal and electronic structures away from the pristine states offers new opportunities in electronics and optoelectronics. Here, we systematically investigated the high pressure behavior of MoSe2 up to ~ 60 GPa using multiple experimental techniques and ab-initio calculations. MoSe2 evolves from an anisotropic 2D layered network to a 3D structure without a structural transition. And it possesses highly tunable electrical and optical properties under pressure, determined by the gradual narrowing of its band-gap followed by metallization. The continuous tuning of its electronic structure and band-gap in the range of visible light to infrared suggest possible energy-variable electronics and optoelectronics applications in pressurized transition-metal dichalcogenides.
5:15 AM - GG13.03
Iron Oxide Based Amorphous Semiconductor Thin Films with Extraordinary Conductivity and Hall Mobility
Abhinav Malasi 1 Humaira Taz 1 Annette E Farah 1 Benjamin Lawrie 2 raphael C Pooser 2 Arthur P. Baddorf 2 Gerd Duscher 1 Ramki Kalyanaraman 1
1Univ of Tennessee Knoxville United States2Oak Ridge National Laboratory Oak Ridge United States
Show AbstractIron oxide is one of the most abundant naturally occurring minerals on earth and one of its forms, hematite ( α -F e 2 O 3 ), has been extensively investigated because of its promise as a semiconductor. However hematite is an extremely poor electrical conductor partly due to its extremely low carrier mobility due to the very large effective mass of electrons. Despite several advances, including the fabrication of epitaxial films by high temperature deposition, the highest reported Hall mobility of hematite is le; 0.6 cm2/V-s and is achieved by doping with Ti. Here we report that amorphous thin films made by pulsed laser deposition at room temperature and containing primarily iron oxide along with a novel combination of heavy metal dopants show n-type behavior with room temperature conductivity > 5 × 1 0 4 S/m and regular Hall mobility > 30 cm2/V-s. These conductivity values are ~500 times larger then those found in epitaxial Ti-doped hematite, while the mobility is nearly 50 times larger. We will also discuss the role of thermal processing and the dopants on the large amorphous stability and electronic and optical properties of this novel thin film oxide material. This emerging new oxide material has potential for applications as a transparent conducting oxide, as a thin film transistor material for flat panel displays and flexible electronics, and in photocatalysis.
* This work has been primarily supported by ARO grant W911NF-13-1-0428, and a Science Alliance JDRD grant U013960010. A portion of this research was supported by NSF TNSCORE grant EPS-1004083 and conducted through grant CNMS2013-284 at the Center for Nanophase Materials Sciences, which is sponsored at ORNL by the Scientific User Facilities Division, Office of Basic Energy Sciences, US Department of Energy.
5:30 AM - GG13.04
Thermal Diffusivity Measurement of Nanometer Thin Films with the Ultrafast Laser Flash Method
Marc-Antoine Thermitus 1
1NETZSCH Instruments Burlington United States
Show AbstractReliable thermophysical property values of thin films are important when developing advanced industrial technologies such as highly integrated electronic devices, phase-change memories, magneto-optical disks, light-emitting diodes (LEDs), organic light-emitting diodes (OLEDs), semiconductor lasers (LDs), flat-panel displays, and power electronic devices. The material thickness of interest in such applications is often in the nanometer range, typically much lower than the bulk material grain size. The thermopysical transport properties of such thin specimens is often very different than those of the similar bulk material. As an illustration, the thermal diffusivity of bulk Molybdenum is ~55 mm2/s. However, with decreasing film thickness, in particular close to the electron mean-free path (15nm), the thermal diffusivity decreases to ~15mm2/s. Consequently, one can no longer rely on the published bulk diffusivity values, but carefully carry out the measurements on the actual thin film.
Ultra fast laser flash methods are presented here. Contrary to the conventional LFA (Light/Laser Falsh Analysis) technique, the thin film specimen is repeatedly heated on one face with very short laser pulses (nano and picosecond range), while the resulting temperature excursion is measured either on the same or opposite face with a synchronized thermorelfectance technique. Results are presented among others for thin film TiN, OEL thin film between two metal layers, ZnO thin film on a transparent substrate, SiO2 thin films, etc...
5:45 AM - GG13.05
Hybrid Low-Dimensional Platforms for Energy Transfer and Radiative Cooling beyond Conventional Limits
Svetlana V Boriskina 1 Jonathan Tong 1 Wei-Chun Hsu 1 Yi Huang 1 Xiaopeng Huang 1 James Loomis 1 Yanfei Xu 1 Lee Weinstein 1 Vazrik Chiloyan 1 Gang Chen 1
1MIT Cambridge United States
Show AbstractModification of radiative properties of materials beyond their classical limits is of fundamental interest and can benefit many applications, including solar energy conversion, nanoscale imaging and sensing, solid-state lighting, personal comfort technologies, etc [1,2]. Many applications also require strikingly different response of materials to visible and infrared light. We will report on the design of several novel platforms that can break conventional limits for light focusing, photon absorption, far-field thermal emission and near-field radiative heat transfer. This is achieved by optimal combination of material properties and photon confinement effects in meso-scale structures tailored to either interact resonantly or not to interact at all with high- and low-energy photons.
We will demonstrate the possibility to manipulate thermal emission by confining photons in low-dimensional potential traps, in direct analogy with confining elections to quantum wells, wires and dots. We used this analogy to design a ‘thermal well&’ thermophotovoltaic energy converter with efficiency exceeding by over an order of magnitude both the bulk limit and the Shockley Queisser limit for a blackbody emitter [3]. In turn, thin fibers (photon wires) can be designed to absorb and scatter short-wavelength visible light, yet not obstruct propagation of longer-wavelength infrared radiation. We used this effect to design new types of fabrics, which can provide personalized cooling by allowing thermal emission from the skin to pass through the clothes [4].
Light absorption and emission can also be modified by surface polariton modes, especially in combination with strong spatial confinement effects. By combining several materials supporting surface plasmon and phonon polariton modes, we designed composite nanostructures with broadband and tunable spectral characteristics. In the near-field heat transfer applications, the new structures can beat the blackbody radiation limit by many orders of magnitude, and the benchmark established by the polar dielectric materials by an order of magnitude [5]. We also proposed hybrid metal-dielectric nano-antennas that offer focusing enhancement by two orders of magnitude and simultaneous reduction of operating temperature by several hundred degrees with respect to their all-metal counterparts.
This work was supported by the U.S. Department of Energy, Office of Basic Energy Sciences Award No. DE-FG02-02ER45977 (for thermal emission manipulation) and by the ‘Solid State Solar-Thermal Energy Conversion Center&’, funded by the US Department of Energy, Office of Science, and Office of Basic Energy, Award No. DE-SC0001299/DE-FG02-09ER46577 (for thermophotovoltaics).
1. S.V. Boriskina, et al. OPN, July/Aug, 50-53, 2015.
2. S.V. Boriskina, et al. Mater. Today 16, 375, 2013.
3. J.K. Tong, et al. Sci. Reports 5, 10661, 2015.
4. J.K. Tong, et al. ACS Photonics 2, 769, 2015.
5. S.V. Boriskina, et al. Photonics 2, 659, 2015.
GG10: Nanolasing, Optical Switching and Modulation
Session Chairs
Volker J. Sorger
Sasan Fathpour
Wednesday AM, December 02, 2015
Hynes, Level 1, Room 103
9:00 AM - GG10.01
Photoresponse of Vanadium Dioxide Nanowires
Hanwei Gao 1
1Florida State University Tallahassee United States
Show AbstractVanadium dioxide (VO2) has drawn much attention for its unique metal-insulator transition near the room temperature. The high electrical resistivity below the transition temperature (about 68 °C) is a result of the strong electron-electron correlation. Such interactions can potentially lead to remarkable charge carrier multiplication under optical excitation, a process desirable for efficient optoelectronics and photovoltaics. However, because the resistivity is highly temperature-dependent, the observed light-induced conductivity in VO2 was often attributed to photothermal effects. By varying the chopping frequency of the optical illumination, we have distinguished the photothermal and photoconductive effects in VO2 nanowires. The frequency dependent measurements indicated that the relatively slow photothermal processes can be well suppressed with high chopping frequency, whereas the fast photo-excitation of charge carrier results in a frequency-independent photoconductivity in VO2. Resolving these coexisting processes paves the way for further studies of carrier dynamics under optical excitations in strong electron correlated materials.
GG14: Poster Session III: Emerging Materials and Platforms for Optoelectronics III
Session Chairs
Wednesday PM, December 02, 2015
Hynes, Level 1, Hall B
9:00 AM - GG14.01
Investigation of GaSb/GaAs Quantum Dots Formation on Ge (001) Substrate and Effect of Anti-Phase Domains
Zon . 1 Thanavorn Poempool 1 Suwit Kiravittaya 2 Supachok Thainoi 1 Songphol Kanjanachuchai 1 Somchai Ratanathammaphan 1 Somsak Panyakeow 1
1Chulalongkorn University Bangkok Thailand2Naresuan University Phitsanulok Thailand
Show AbstractThe effects of GaAs anti-phase domains (APDs) on the growth of GaSb quantum dots (QDs) are investigated. By molecular beam epitaxial growth of GaAs on Ge (001) substrate, uniform GaAs APDs with the size of a few microns are formed. The band gap properties of GaAs and Ge, i.e., direct/indirect and wide/narrow bandgaps, are applicable for many optoelectronic devices. Small lattice mismatch between GaAs buffer layer and Ge substrate, which is only 0.1%, allows us to realize defect-free heterostructure. Moreover, Ge is a group-IV element and GaAs is a polar III-V compound semiconductor. Due to polar/non polar interface, GaAs APDs are formed. However, due to high sticking coefficient of Sb atoms, GaSb QDs are created on the whole surface of the sample without any effects from APD boundary. GaSb/GaAs QD has the staggered type-II band alignment, which is attractive in many aspects. In staggered type-II band alignment, the conduction band and valence band of GaSb QDs have higher energy level than those of the GaAs matrix. Therefore, excited/injected electrons will locate in GaAs matrix around GaSb QDs by Coulomb attraction and holes will be confined in GaSb QDs. The electron and hole wavefunctions are spatially separated and give a long carrier lifetime, which might be useful for memory devices and high-operating-temperature infrared photodetectors.
In this research, 1.4 ML of GaSb QDs are grown on Ge (001) substrate. QDs are formed in Stranski-Krastanov mode. The AFM image of free-standing GaSb QDs shows that the QDs have rather uniform size distribution with high density ( sim;9 ×109 dots/cm2). It is also found that GaAs APDs do not affect significantly the position of GaSb QDs. The noticeable research highlight is to describe the reliable results of growing the GaSb/GaAs QDs on conventional Ge substrate although 6 #870; miscut Ge substrate can be used to avoid forming of APDs.
The photoluminescence (PL) measurement is carried out for investigating the optical properties of GaSb/GaAs QDs on Ge substrate and confirming their type II properties. A 514-nm line Ar+ laser is used for photo excitation. PL peaks are measured at different temperatures. The light emission peaks of QDs are varied in the range of 0.97 eV to 1.07 eV when the temperature is increased from 12 K to 270 K.
9:00 AM - GG14.02
Effects of Temperature on the Properties of Transparent Conducting Mg(OH)2-C Thin Films Prepare by RF-Sputtering Deposition with Rotational Substrates
Masafumi Chiba 1 Hideo Kiyota 2
1Tokai Univ Hiratsuka Japan2Tokai Univ Kumamoto Japan
Show AbstractCurrently, the transparent conductors are essential materials for the flat panel display and the solar cell devices. Tin doped Indium Oxide; ITO has been widely used as a key material for liquid crystal display technologies because of its high transparency and electric conductivity. However, the high cost of indium due to the scarcity (Clarke index is 10-5) and the toxicities of indium oxides have been strongly pointed out. Our research group has succeeded in the development of Mg(OH)2 doped with C as a new transparent electrically conductive material to replace ITO [1]. The newest transparent conductive material developed in our group, Mg(OH)2-C, was prepared by the sputtering Mg/C multi layered film, and post-reaction of the Mg/C film with moisture in the air [2, 3]. The rotating-substrate preparation method used here facilitates the variation of the amount of Mg and C deposited on the substrate. At the same time, the approach makes it possible to adjust the composition, the layer thickness, and the number of layers of each atomic species. In addition, film deposition techniques suitable for fabricating these Mg(OH)2-C semiconductors are being developed. However, the final state of the film after hydroxylation of this Mg/C multi-layered film is tends to peel under some preparing conditions. This work studies the effect of pre-heat treatment with a various temperatures on the film strain and the microstructure. An ultraviolet-visible spectrophotometer was used in the transmittance measurement, and the resistivity -measuring equipment was LCR Hi-tester in the electric properties measurement for the sample obtained in this method. Then the crystal structure of the films was analyzed with an X-ray diffractometer. The surface morphology was observed using the scanning probe microscope. When the treatment temperature was 400 °C or less, the film was prone to peeling and cloudy. On the other hand, when heated at 500 °C or more, the final state of the film was highly transparent and without peeling. The required time during the heat treatment for the substrate was sufficient in about 10 minutes. From the experimental results, it is assumed that the pre-heat treatment has an affect the energy relaxation of the substrate surface.
References: [1] Kuji, T., Honjo, T., Chiba, M., Nobuki, T., & Crivello, J. C. (2008). Development of new transparent conductive material of Mg(OH)2-C. e-Journal of Surface Science and Nanotechnology, 6, 15-16. [2] Chiba, M., Endo, D., Maizono, M., Higashi, M., & Kiyota, H. (2012, January). Electrical Properties of Magnesium Carbon Co-Sputtered Thin Films Applied Post Hydroxylation Treatment. In MRS Proceedings (Vol. 1406, pp. mrsf11-1406). Cambridge University Press. [3] Chiba, M., Endo, D., Haruta, K., Kimura, H., & Kiyota, H. (2013, January). Semiconductive Properties of Alternating Mg/C Multi-layer Films with Hydroxylation Treatment. In MRS Proceedings (Vol. 1494, pp. 197-202). Cambridge University Press.
9:00 AM - GG14.03
Impacts of Tapered Sidewall Profiles with High Aspect Ratio on Subwavelength Grating Structure
Dachuan Wu 1 Wenxi Yu 1 Xiaoman Duan 2 Yasha Yi 1
1University of Michigan Dearborn United States2MIT Cambridge United States
Show AbstractThe periodic subwavelength nano scale structures have attracted much attention in the research of nanophotonics. Guided mode resonance (GMR) or resonance utilizing high-contrast gratings (HCG) was both investigated using subwavelength grating as periodic reflectance surface. Flexible tunability of resonance wavelength and high quality factor could be obtained. Focus has been in the potential application of subwavelength grating resonance, like light filters, optical sensing, and detectors.
In this work, we have numerically demonstrated the significant impacts on the resonance mode characteristics of subwavelength grating structures due to tapered sidewall profile and high aspect ratio, which is normally obtained due to the practical CMOS-compatible fabrication and etching processes.
Our simulation results have revealed that the tapered sidewall profile with high aspect ratio plays important roles on the resonance mode behavior of subwavelength grating photonic devices. The coupling mechanism between the guided mode resonance and the grating cavity is also emphasized. Our numerical studies can be utilized for a series of integrated photonic devices applications, like compact optical filter, photonic amplifier, and lasers, while taking into account realistic subwavelength grating structures due to current nanoelectronics fabrication process.
9:00 AM - GG14.04
Subwavelength Grating Wideband Reflectors with Tapered Sidewall Profile
Dachuan Wu 1 Wenxi Yu 1 Xiaoman Duan 2 Yasha Yi 1
1University of Michigan Dearborn United States2MIT Cambridge United States
Show AbstractNanoscale subwavelength grating structures have been extensively studied in the field of integrated nanophotonics. Zero Contrast Gratings (ZCGs) based on Guided Mode Resonance (GMR) structures or High-Contrast Gratings (HCG) were both investigated as wideband reflectors. Flexible tunability of resonance wavelength and high quality factor using such structures could also be obtained. Focus has been in the potential application of such subwavelength grating devices, like light filters, optical sensing, and photodetectors.
In this work, significant impacts of grating tapered sidewall profile on the subwavelength grating wideband reflector characteristics have been demonstrated, when taking into account the practical fabrication process. Two different class of wideband reflectors, referred to as Zero-Contrast Gratings (ZCG) and High-Contrast Gratings (HCG), are investigated in details numerically, and distinct difference of the impacts due to grating tapered sidewall is observed, respectively.
Our works reveal that this tapered sidewall profile plays a critical role in determining the reflection bandwidth, average reflectance and the band edge. The results could be widely utilized in applications of a variety of nanophotonic devices and their integration, as well as facilitate the design of the fabrication process on how to control the degree of tapered sidewall profile for the integrated subwavelength grating nanophotonic devices.
9:00 AM - GG14.05
Crystalline Germanium Optical Fibers for Low-Loss Mid-Infrared Semiconductor Waveguides
Ryan Page 1 Xiaoyu Ji 1 Subhasis Chaudhuri 2 John Badding 2 Venkatraman Gopalan 1
1Pennsylvania State University State College United States2Pennsylvania State University State College United States
Show AbstractSemiconductor optical fibers are fundamental in many fields and applications such as optoelectonics, photonics, and imaging. The wide infrared transmission window, high refractive index (n~4), and high carrier mobility of germanium make it a very attractive material for electronic and infrared imaging applications. Specific applications of germanium fiber waveguides include the development of mid-infrared endoscopes and photodetectors; It has also been shown that tensile-strained germanium is a direct band gap semiconductor, suggesting applications in optoelectronic modulation [1,2]. However, the large effects of grain boundary scattering on optical loss and conductivity in polycrystalline germanium in current optical fibers prevent their use in many practical applications.
In this work, crystalline germanium step-index infrared waveguide fibers are fabricated using high-pressure chemical vapor deposition (HPCVD) and a laser annealing technique. As has been previously shown, HPCVD allows germanium to be directly deposited inside microstructured silica glass capillaries [3]. HPCVD was chosen over high-temperature drawing of fibers to ensure sharply defined interfaces between core and cladding [4]. The amorphous semiconductor core is annealed using a laser technique into a crystalline state to improve the transmission and carrier mobility of the fiber. Experimental results have shown that laser annealing produce fibers with a higher degree of crystallinity than conventional thermal annealing. Characterization techniques such as Raman spectroscopy and optical transmission measurement were used to determine the crystallinity and loss characteristics of the fibers. Theoretical loss measurements were also calculated using comsolTM. Optimizing the parameters of our laser annealing technique will allow the germanium to be monocrystalline, thereby optimizing conductivity and optical transmission. This work is an important step towards the utilization of low loss, monocrystalline Ge waveguides in applications across a wide array of fields.
References
1. Huo, Y. H. Y. et al. 2009 Conference on Lasers and Electro-Optics and 2009 Conference on Quantum Electronics and Laser Science Conference, 3700(004), 6-7 (2009).
2. Ji, X. et al. Opt. Express 22, 28459-28466 (2014).
3. Sazio, P. J. A. et al. Science 311, 1583-1586 (2006).
4. He, R. et al. Adv. Mater. 25, 1461-1467 (2013).
9:00 AM - GG14.06
Control of Room Temperature Phosphorescence (RTP) of Purely Organic Materials by Suppressing Molecular Motions
Min Sang Kwon 1 Youngchang Yu 1 Jiho Youk 3 Johannes Gierschner 2 Jinsang Kim 1
1University of Michigan Ann Arbor United States2Madrid Institute for Advanced Studies Madrid Spain3Inha University Incheon Korea (the Republic of)
Show AbstractMetal-free organic phosphorescent materials are attractive alternatives to predominant organometallic phosphors because they are non-toxic, inexpensive, and earth-abundant. However, the development of highly efficient metal-free phosphorescent materials is very challenging since, without heavy-metal atoms, radiative decay process is usually slow due to inefficient spin-orbit coupling, and therefore radiationless transitions dominate. In this presentation, we discuss a new strategy to effectively suppress radiationless transitions by the suppression of molecular motions through covalent cross-linking between phosphors and polymer matrices, which largely enhances phosphorescence efficiency of metal-free organic materials in a variety of amorphous polymer matrices. Detailed spectroscopic studies reveal that our method effectively suppresses various radiationless relaxation pathways including triplet-triplet energy transfer and intersystem crossing from lowest triplet to ground state, resulting in very high phosphorescent quantum efficiencies that are ca. 2-5 times higher than those of phosphor-polymer blend systems having no such linkage.
9:00 AM - GG14.07
Synthesis and Characterization of Fully Inorganic CsPbX3 Perovskite Nanocrystals to Realize Monochromatic Light-Emitting Diodes
Hee Chang Yoon 1 Dan Bi Choi 1 Keyong Nam Lee 1 Heejoon Kang 1 Jihye Oh 1 Minji Ko 1 Heeyeon Yoo 1 Young Rag Do 1
1Kookmin University Seoul Korea (the Republic of)
Show AbstractIn this study, we synthesized and characterized visible-emissive CsPbX3 (X=Br, I) perovskites nanocrystals (NCs) to realize a wide color gamut with pure monochromatic down-converted light-emitting diodes (DC-LEDs). The CsPbX3 NCs were synthesized using a typical colloidal hot-injection method, and color tuning from 505 nm to 670 nm for the CsPbX3 NCs was carried out by controlling the ratio of bromide and iodide precursors. The synthesized CsPbX3 NCs show high quantum yields ranging from 50 % to 90 % and a narrow full-width at half maximum (FWHM) from 20 nm to 50 nm. The DC-LEDs were fabricated using a encapsulant mixed with the CsPbX3 NCs and an InGaN blue LED capped with a long-wavelength pass-dichroic filter (LPDF). A wide color gamut up to 120% compared to national television system committee (NTSC) standards established by the 1931 Internationale de L'éclairage (CIE) was realized with pure colored DC-LEDs. The optical properties of the synthesized CsPbX3 NCs were characterized by UV-vis spectroscopy, photoluminescence (PL), and the structural and morphological properties were analyzed by X-ray diffraction (XRD) and transmission electron microscopy (TEM). The fabricated CsPbX3 colored DC-LEDs were measured by the electroluminescence (EL) method.
9:00 AM - GG14.08
Unraveling Nonradiative Energy Transfer in Colloidal Nanoplatelet Solids
Burak Guzelturk 1 2 Murat Olutas 1 Savas Delikanli 1 Yusuf Kelestemur 1 Onur Erdem 1 Hilmi Volkan Demir 1 2
1Bilkent University Ankara Turkey2Nanyang Technological University Singapore Singapore
Show AbstractColloidal nanoplatelets (NPLs), also known as solution-processed quantum wells, are atomically flat nanocrystals with only few monolayers of vertical thickness enabling strong 1D quantum confinement. Thanks to their resulting superior optical properties, NPLs make an attractive class of materials in optoelectronics, especially for light-emitting diodes (LEDs) [1] and lasers [2, 3]. In these applications, highly close-packed solid-films of the NPLs are required, where nonradiative energy transfer (NRET) between the NPLs through near-field dipole-dipole coupling could possibly dominate the excitonic properties. However, these excitonic effects have not been elucidated to date.
In this work, we unveil NRET in the solid films of the CdSe NPLs for the first time [4, 5]. Here, we synthesized NPLs having magic-sized vertical thicknesses corresponding to 4 monolayers (MLs) and 5 MLs of CdSe lattice units. These NPLs offer extremely large extinction coefficients and giant oscillator strengths together with the absence of inhomogeneous broadening [6]. These favorable properties make NPLs suitable for realizing and harnessing strong excitonic interactions in their solid films. Recently, we have uncovered ultralong range exciton transport in the stacks of these NPLs, where NRET rate can be as fast as (3 ps)-1 [4]. Unfortunately, this ultraefficient exciton transport strongly assists exciton trapping in the defected NPLs, which causes an overall increased quenching of the photoluminescence. We also revealed NRET between the NPLs of different vertical thicknesses. Photoluminescence excitation spectra of the acceptor NPLs having 5 ML vertical thickness exhibited the absorption features of the donor NPLs having 4 ML vertical thickness, which strongly suggests the exciton transfer between these NPLs. Another supporting evidence came from the time-resolved fluorescence kinetics, where the donor NPL fluorescence decay was found to accelerate in the presence of the acceptor NPLs. Concomitantly, fluorescence decay of the acceptor NPLs was slowed down due to exciton feeding. Yet, we found that nanoscale morphology is the key to realizing highly efficient NRET between the NPLs. Although stacks containing different thickness NPLs can achieve ultrafast NRET rates [7], self-stacking of the different vertical thickness NPL populations led to a nanoscale phase segregation, which severely limits the NRET efficiencies in the solid-films of the NPLs [5].
Here the understanding on NRET in the NPL solids presented in this work is crucial towards controlling the excitonic properties of the NPL films for enhanced optoelectronic devices.
[1] Z. Chen et al. Adv. Funct. Mater 24, 295 (2014)
[2] B. Guzelturk et al. ACS Nano 8, 6599 (2014)
[3] J. Grim et al. Nature Nano 9, 891 (2014)
[4] B. Guzelturk et al. ACS Nano 8, 12524 (2014)
[5] B. Guzelturk et al. Nanoscale 7, 2545 (2015)
[6] S. Ithurria et al. Nature Mater 10, 936 (2011)
[7] C. E. Rowland et al. Nature Mater 14, 484 (2015)
GG10: Nanolasing, Optical Switching and Modulation
Session Chairs
Volker J. Sorger
Sasan Fathpour
Wednesday AM, December 02, 2015
Hynes, Level 1, Room 103
9:15 AM - *GG10.02
Dynamics of Plasmonic Stopped-Light Nanolasing and Condensation
Ortwin Hess 1 Freddie Page
1Imperial College London London United Kingdom
Show AbstractNormally lasers all have two vital components: a (laser) gain material and coherent feedback of the emitted light. In normal lasers feedback is provided by placing the gain material between mirrors - i.e. inside a cavity. Going beyond traditional cavity-concepts, nanolasers employ plasmonic resonances for feedback, allowing them to concentrate light into mode volumes that are no longer limited by diffraction [1]. A particularly successful design for lasers with strongly confined fields on the nanoscale is based on semiconductor nanowires [2,3]. The use of localized surface plasmon resonances as cold-cavity modes, however, is only one route to lasing on subwavelength scales. Lasing, in fact, does not require modes predefined by geometry but merely a feedback mechanism [4]. Here we demonstrate that the concept of dispersion-less stopped-light allows by combination of nanoplasmonics with quantum gain materials stopped-light lasing and surface-plasmon polariton condensation in active hybrid nanoplasmonic heterostructures.
In stopped-light lasing, photons are trapped and amplified in space just at the point of their emission. It will be shown that at the stopped-light point, a stable lasing mode can form over a finite region of gain material due to the arising local (cavity-free) feedback in the form of a sub-wavelength optical vortex [5]. A microscopic Maxwell-Bloch Langevin approach [5] and a new rate-equation framework is shown to grasp the particular physics of stopped-light lasing involving singularities in the density of states [6]. The observed high-β characteristics and ultrafast relaxation oscillations of cavity-free stopped-light lasing can potentially allow for the design of thresholdless plasmonic laser diodes that are thinner than the wavelength and localized on the nanoscale but also potentially extended over large areas and can be modulated with THz speeds. A novel quantum model provides fundamental insight into the strong coupling between quantum emitters and localized nanophotonic modes and simulations reveal the ultrafast spatio-temporal dynamics of nonequilibrium surface-plasmon polaritons that are condensed at stopped-light singularities.
REFERENCES
[1] O. Hess and K. L. Tsakmakidis, Science339, 654 (2013).
[2] T.P.H. Sidiropoulos, R. Roder, S. Geburt, O. Hess, S.A. Maier, C. Ronning and R.F. Oulton, Nature Phys.10, 870 (2014).
[3] S. Wuestner, J. M. Hamm, A. Pusch, and O. Hess, Laser Photonics Rev. 9, 256 (2015).
[4] J. M. Hamm and O. Hess, Science340, 1298 (2013).
[5] T. Pickering, J. M. Hamm, A. F. Page, S. Wuestner, and O. Hess, Nature Comm.5, 4971 (2014).
[6] S. Wuestner, T. Pickering, J. M. Hamm, A. F. Page, A. Pusch and O. Hess, Faraday Discuss., 178, 307 (2015).
9:45 AM - *GG10.03
On-Chip Non-Reciprocity and Photonic Gauge Field from Dynamic Refractive-Index Modulation
Shanhui Fan 1 Luqi Yuan 1 Yu Shi 1 Qian Lin 1 Zongfu Yu 2
1Stanford Univ Stanford United States2University of Wisconsin Madison United States
Show AbstractWe discuss some of our recent efforts in understanding the non-reciprocal photonic gauge field that arises from dynamic refractive index modulation in nanophotonic structures. We show that the use of time-dependent photonic gauge field naturally leads to three-dimensional dynamic photon localization. We also show that photonic structures undergoing photonic transition provides a natural platform for exploration of ultra-strong coupling physics beyond the rotating wave approximation. Finally, we consider nonlinear optical isolators that have been widely considered for on-chip non-magnetic optical isolation, and show that such nonlinear isolators is subject to a constraint of dynamic reciprocity which fundamentally limits their applicability as an optical isolator.
10:15 AM - GG10.04
Two-step-index ZnMgTe/ZnTe Waveguide Structures with Improved Crystal Quality
Wei-Che Sun 1 Fukino Kazami 1 Jing Wang 1 Taizo Nakasu 1 Shota Hottori 1 Takeru Kizu 1 Yuki Hashimoto 1 Masakazu Kobayashi 1 2 Toshiaki Asahi 3
1Waseda University Tokyo Japan2The Kagami Memorial Laboratory for Materials Science and Technology Tokyo Japan3JX Nippon Mining amp; Metals Corp. Ibaraki Japan
Show AbstractThere are many interests in developing applications of Electro-Optical (EO) effect techniques to novel devices. ZnTe is an attractive EO crystal with high EO coefficient (4.5pm/V). ZnMgTe(Cladding)/ZnTe(Core)/ZnMgTe(Cladding) thin film waveguide has been fabricated and presented a great potential to be a high performance EO modulator. For a low propagation loss ZnMgTe/ZnTe waveguide, thick and high Mg composition (Mg%) cladding layers are needed. However, high Mg% would enlarge the lattice mismatch between the cladding layer and the core layer (lattice mismatch between zincblende ZnTe and MgTe is about 4.1%), and degrade the crystal quality due to misfit dislocations. It has been confirmed that low propagation loss Zn0.8Mg0.2Te (0.6µm)/ZnTe waveguide structures had in-plane lattice mismatch about 0.75% (almost fully relaxed; theoretical lattice mismatch between ZnTe and Mg20% ZnMgTe is about 0.82%). In this study, we introduced a low Mg% layer between the cladding and the core layer to circumvent the effect of in-plane lattice mismatch.
The cladding layers of 2-step-index ZnMgTe/ZnTe waveguide were designed to contend Mg20% and Mg10% layers. The waveguide structure was grown on P-doped (001) ZnTe substrates using molecular beam epitaxy. The growth temperature and rate was about 360#8451; and 0.5µm/h, respectively. After the growth, the X-ray diffraction reciprocal space mapping (RSM) was used to evaluate the in-plane lattice mismatches and the crystal quality of waveguide structures. The cross-sectional scanning electron microscope (SEM) was used to observe the layered structures and the thickness of each layer. The propagation loss of the waveguide was monitored, and the EO property of the waveguide was also characterized by a homemade EO measuring system.
A Zn0.76Mg0.24Te (0.35µm)/Zn0.88Mg0.12Te (0.45µm)/ZnTe (7µm) waveguide were fabricated, and it was observed through RSM measurement that the in-plane lattice mismatch between the Mg 12% layer and the core layer was about 0.44% while between the Mg 24% layer and the core layer was about 0.73%. Since the lattice mismatch observed for the conventional waveguide structure with the Mg 24% layer was about 0.98%, the introduction of the additional interface and the low Mg% layer has improved the crystal quality of the waveguide structure. The light propagation loss observed from those two kinds of structures were compared, and both structures exhibited the similar propagation loss indicating that the degradation of the crystal quality was suppressed even after increased the thickness and Mg% of ZnMgTe layers. The EO characteristics were also compared and similar phase shifts were observed under the same applied electrical field. Further improvements are required to optimize the thickness and Mg% in cladding layers.
10:30 AM - GG10.05
Influence of Tetragonal Structure on the Electro-Optic Response of BaTiO3/Silicon Photonic Structure
Kristy Kormondy 1 Stefan Abel 2 Florian Fallegger 2 Youri Popoff 2 Agham Posadas 1 Marilyne Sousa 2 Daniele Caimi 2 Heinz Siegwart 2 Lukas Czornomaz 2 Chiara Marchiori 2 Marta D Rossell 3 Alex Demkov 1 Jean Fompeyrine 2 Thilo Stoeferle 2
1The University of Texas at Austin Austin United States2IBM Research - Zurich Ruuml;schlikon Switzerland3Empa, Swiss Federal Laboratories for Materials Science and Technology Duuml;bendorf Switzerland
Show AbstractRecent progress has been made towards leveraging the optical properties of functional oxides in novel integrated silicon photonics devices, including the strong electro-optic response of BaTiO3 (BTO) epitaxial thin films on Si (001). The tensorial nature of the Pockels effect in BTO maintains a strong link between electro-optic response and crystalline structure of the ferroelectric oxide. In the case of BTO thin films on Si, epitaxial strain and symmetry breaking at the surface and interface provide opportunities to tune and enhance nonlinear optical properties. We examine the influence of BTO crystalline orientation and the ferroelectric domain structure on the Pockels effect in thin films and resulting photonic devices.
Molecular beam epitaxy (MBE) was used to deposit high-quality BTO films of thickness 6-130 nm on Si through use of a buffer layer of SrTiO3. Variations in BTO crystalline orientation arise from elastic strain relaxation in thicker films and can be enhanced by rapid thermal anneal under oxygen-rich conditions. The linear electro-optic response of the BTO was quantified by analysing changes of the polarization of a laser beam operating at a wavelength of 1550 nm transmitted through pairs of lithographically defined electrodes.
X-ray diffraction analysis elucidates the role of strain relaxation and domain structure on the corresponding EO properties. In particular, comparison of films of identical thickness with differing domain structure illustrate the crucial role of (i) ferroelectric domain configuration and (ii) relative orientation between the crystalline domains and applied electric field. Corresponding simulations emphasize the importance of these geometric factors by considering the bulk Pockels tensor, domain structure, field orientation, and angle of incidence. Understanding and controlling these microscopic properties are crucial for engineering efficient hybrid BTO/Si nonlinear photonic devices.
Indeed, we successfully fabricated passive and actively switchable BTO/Si photonic devices including grating couplers, Mach-Zehnder interferometers, and resonators, whose measured optical characteristics agree well with our simulated design. Quality factors of Q > 104 could be achieved in ring resonators with a radius of 30 mu;m. Measurements of active race-track resonators show a strong electro optical response with clear shifts of the resonances when applying an electric field. These results represent a significant step forward in the development of BTO/Si photonic devices for a broad range of novel applications and demonstrate a way of enriching the toolkit of integrated photonics with the class of efficient nonlinear optical materials.
10:45 AM - GG10.06
Plasmonic Modulators Based on Bismuth Ferrite for Low-Loss Optical Switching
Viktoriia Babicheva 1 Alexey Krasavin 2 Anatoly Zayats 2
1Georgia State University Atlanta United States2Kingrsquo;s College London London United Kingdom
Show AbstractWe study active plasmonic modulators featuring thin bismuth ferrite (BFO) films for dynamic optical switching in highly-integrated plasmonic waveguides. In such waveguides, the bismuth ferrite core is sandwiched between conducting materials (metal layers, silicon ridge, or zinc oxide films), which, serving as electrodes, induce large refractive index changes in BFO by means of partial in-plane to out-of-plane reorientation of ferroelectric domains under an applied voltage [1]. The domain switch results in the change of the propagation constant and absorption coefficient of the propagating plasmonic mode, allowing the implementation of both phase and amplitude control schemes. From the material point of view, low losses of BFO (nearly zero for lambda; > 1400 nm) do not cause additional attenuation from the waveguide core, and thus do not increase insertion loss of the whole device, in contrast to transparent conductive oxides or vanadium dioxide. Particularly, we study metal-insulator-metal (MIM) and hybrid plasmonic waveguides, and compare them with a BFO ridge waveguide. From the design point of view, the MIM configuration allows a cut-off of the propagating mode and thus makes it possible to efficiently modulate the signal [2]. The hybrid design provides numerous advantages including low propagation loss and ease of integration with conventional photonic waveguides [3].
[1] S.H. Chu, D.J. Singh, J. Wang, E.-P. Li, and K.P. Ong, "High optical performance and practicality of active plasmonic devices based on rhombohedral BiFeO3," Laser & Photonics Reviews 6, 684-689 (2012).
[2] V.E. Babicheva, S.V. Zhukovsky, and A.V. Lavrinenko, "Bismuth ferrite as low-loss switchable material for plasmonic waveguide modulator," Opt. Express 22, 28890-28897 (2014).
[3] V.E. Babicheva, A. Boltasseva, and A.V. Lavrinenko, "Transparent conducting oxides for electro-optical plasmonic modulators," Nanophotonics 4, 165-185 (2015).
GG11: Plasmonics and Metamaterials
Session Chairs
Viktoriia E. Babicheva
Volker J. Sorger
Wednesday AM, December 02, 2015
Hynes, Level 1, Room 103
11:30 AM - *GG11.01
Shape- and Size-Independent Resonators
Inigo Liberal 1 Ahmed Mahmoud 1 Nader Engheta 1
1Univ of Pennsylvania Philadelphia United States
Show AbstractOptoelectronic devices based on resonant cavities and structures are indispensable elements and important building blocks in many scenarios involving light-matter interactions. For conventional cavities, the shape and size of the cavity determine their resonance frequencies and quality (Q) factors, both of which are crucial parameters in tailoring the manipulation of light in systems such as optoelectronics. By contrast, we have been exploring cavities whose resonance frequencies may be independent of their geometry. Our theoretical work shows that this may be possible for scenarios involving near-zero-index materials, e.g., dielectric particles embedded in epsilon-near-zero (ENZ) host media. One can thus envision bending, folding, and stretching these flexible structures without affecting their resonance frequencies. We have found that resonance frequencies of these cavities are almost independent of their shapes and sizes, while their Q factors may be affected by such transformations opening the door for new category of tunable devices. Such size- and shape-independent resonant structures may also find useful applications in flexible on-chip optoelectronic systems. In this talk, we will present our latest results on this topic.
12:00 PM - GG11.02
An Active Metamaterial Platform for Chiral Responsive Optoelectronics
Sean P Rodrigues 1 Lei Kang 1 Shoufeng Lan 1 Yonghao Cui 1 Wenshan Cai 1
1Georgia Inst of Technology Atlanta United States
Show AbstractChiral metamaterials are classically composed of single metallic meta-atom units, thereby limiting their ability for electrical signal manipulation or extraction. Here we propose and experimentally demonstrate a novel metamaterial configuration consisting of a pair of perforated films with angularly shifted elliptical holes. The metamaterial exhibits a circular dichroism as large as 0.4 around the high frequency end of the near-infrared spectrum. In addition, the meta-enantiomer pair exhibits complementary chiroptical effects in the nonlinear regime, with a factor of 9 in terms of the contrast in the second harmonic signal generated from opposite circular polarizations. This unique structure provides an unusual advantage over traditional chiral metamaterials. The topologically continuous metallic structure in the metamaterial facilitates electrooptic control and signal generation, thereby forming a self-contained platform with dual electrical and optical functions. To demonstrate the devices potential as an electrooptic transducer, we explore the photoelectric current generated in the metamaterial under circularly polarized excitations, by means of the photon drag effect. The magnitude of the generated electrical signal arising from the photon drag effect is clearly correlated to the chiroptical resonances, where they reach their maximum contrasts of ~2.6 when excited by the two circularly polarized irradiations. More importantly, the photo-induced currents flow along opposite directions for the two enantiomers, - (+) current for enantiomer B (A). This reveals that the chiral-sensitive coupling of circularly polarized photons to the nanometallic system originates from the intrinsic enantio-selectivity in the chiral metamaterial. With the combined study of harmonic generation and photon dragging in the metamaterial, both the energy and momentum of circularly polarized photons are exploited in a unified manner. This work demonstrates the evolution of metamaterials into self-contained optoelectronic platforms with intrinsically embedded electrical and optical functions, opening up the potential for electrooptic signal processing in the chiroptical regime.
12:15 PM - GG11.03
Solid-State Self-Assembly: Stretchable Electronic and Photonic (meta)Materials
Yoonseob Kim 1 Nicholas A. Kotov 1
1Univ of Michigan-Ann Arbor Ann Arbor United States
Show AbstractNanoscale science and technologies has been developed tremendously during the last two decades, introducing a variety of nanomaterials with unique properties. However, incorporation of the properties into macroscale functional applications has been limited. An essential challenge is the integration of such unique properties into assemblies for macroscale devices. Here we explore the self-assembly of nanomaterials in solid-state for discovering fundamental understandings of mechanisms and dynamics for various engineering applications.
1. Yoonseob Kim et al. Nature, 2013, 500, 59-63 first demonstrated an example of excellent stretchable conductors from self-assembly of nanoparticles (NPs). Free-standing stretchable conductors were prepared by layer-by-layer (LBL) assembly. High conductivity and stretchability were observed and the properties originated from dynamic self-organization of NPs. Modified percolation theory to incorporate the self-assembly gave excellent match with experimental data.
2. The recent study, (Yoonseob Kim et al., currently in revision) first demonstrated the chiroptical nanocomposites for the applications of metamaterials devices and optoelectronics. They were LBL assembled from NPs and single-walled carbon nanotubes. Chiroptical activities were reversibly tunable by macroscale stresses. S-like non-planar nano-assemblies are responsible for the optical activities and this was confirmed by computational simulations.
Solid-state self-assembly at the nexus of mechanics, electronics, and excitonics/plasmonics can be generalized to other nanoscale materials and open new possibilities for composite-based electronic and optic devices.
The works were funded, in part, by Rackham Predoctoral Fellowship, University of Michigan, STX scholarship, Seoul, Korea, and US Air Force Office of Scientific Research.
12:30 PM - *GG11.04
Refractory Plasmonics
Vladimir M. Shalaev 1 Urcan Guler 2 Alexander V. Kildishev 1 Alexandra Boltasseva 1
1Purdue University West Lafayette United States2Nano-Meta Technologies Inc. West Lafayette United States
Show AbstractThe use of plasmonic effects over a broad range of electromagnetic spectrum has been a challenge over the first few decades of research due to limited number of available materials. Recently, the efforts in the area has been concentrated on identifying and examining new material classes as the building blocks for optical technologies over a broader electromagnetic spectrum. Transition metal nitrides attract attention as plasmonic materials in the visible and infrared spectral regions with optical properties resembling gold. As refractory materials, nitrides can withstand heat induced physical phenomena as well as aggressive chemical environment. Adjustable dielectric permittivity of plasmonic nitrides allow fine tuning of optical properties for selected applications. In addition to favorable optical, physical and chemical properties; transition metal nitrides provide CMOS- and bio-compatibility. In this talk, novel designs and concepts based on refractory plasmonic materials for infrared applications will be presented. Additionally, light confinement at the nanoscale with refractory plasmonic antennas, spectral engineering of absorption and emission with metamaterials, and the use of colloidal solutions for a variety of applications will be discussed.
Symposium Organizers
Viktoriia E. Babicheva, Georgia State University
Sasan Fathpour, University of Central Florida
Juejun Hu, Massachusetts Institute of Technology
Volker J. Sorger, The George Washington University, School of Engineering and Applied Science
GG17: Photodetectors
Session Chairs
Viktoriia E. Babicheva
Volker J. Sorger
Thursday PM, December 03, 2015
Hynes, Level 1, Room 103
2:30 AM - GG17.01
Ultrasensitive Photodetectors with Mesoscale Mini P-N Junctions
Yingjie Zhang 2 1 Daniel Hellebusch 2 Noah Bronstein 2 Changhyun Ko 2 Jianbo Gao 2 D. Frank Ogletree 2 Miquel B. Salmeron 2 A. Paul Alivisatos 2
1University of Illinois at Urbana-Champaign Urbana United States2University of California, Berkeley Berkeley United States
Show AbstractIt is known that high-gain photoconductors operate based on the mechanism of trapping of one-type of carriers (p or n) and transporting the other via high mobility pathways. Therefore, it is desirable to have a thin film consisting of spatially connected p-n junctions, where one type of junctions form topologically closed domains and the other form connected percolation domains throughout the film. In these films, photoexcited electrons and holes separate instantaneously assisted by the local built-in electric field, with one type of carriers trapped in the closed domains, and the other transported via connected percolation pathways. Based on this principle, we fabricated a polycrystalline photoconductor with record-breaking photoconductive gain.
2:45 AM - GG17.02
Flexible Waveguide Integrated Photodetectors
Lan Li 1 Hongtao Lin 1 Jerome Michon 1 Charmayne Smith 2 Kathleen Richardson 2 Juejun Hu 1
1MIT Cambridge United States2University of Central Florida Orlando United States
Show AbstractPhotodetectors are important components for imaging, communications and sensing applications. Traditional photodetectors are typically made on rigid semiconductor substrates and couple to incident light via free space. Here we present experimental demonstration of waveguide-coupled flexible photodetectors. By taking advantage of the substrate-blind integration capacity of chalcogenide glass photonic components, high-index-contrast glass optical waveguides were monolithically integrated on InGaAs nanomembranes metal-semiconductor-metal photodetectors hybrid bonded to flexible substrates. The first-generation detector devices exhibit a linear optical response to guided mode input at 1550 nm with a responsivity of 2 mA/W (not corrected for fiber-to-waveguide coupling loss). Optical and mechanical properties of the final devices will be presented.
3:00 AM - GG17.03
High Gain and Low Noise Hybrid Perovskite Photodetectors with Lowest Resolvable Light Intensity of Sub-Picowatt per Square Centimeter
Yanjun Fang 1 Jinsong Huang 1
1University of Nebraska - Lincoln Lincoln United States
Show AbstractWeak light sensing has a wide variety of applications in fields that are of importance to industry, defense, as well as scientific research. The high sensitivity requires the photodetector to possess both high gain and low noise. The photoconductive gain has been widely observed in organic material, nanomaterial, and nanocomposite based photodetectors. However, its further improvement is hindered by their poor charge carrier mobilities. Organometal trihalide perovskite (OTP) CH3NH3PbX3 (X is Cl, Br, or I), a new generation of hybrid optoelectronic materials that combine good solution processability with high Hall mobilities, may potentially replace Si in the engineering of low-cost, yet high-performance photodetectors. The abundant defects/traps in the OTP layer, which are detrimental to the performance of photovoltaic devices, might be exploited to boost the gain of perovskite photodetectors through an ingenious device design. In this talk, we report that hybrid perovskite photodetectors show a high photoconductive gain of above 400 across the UV to NIR range at a very low bias of minus;1 V.1 The charge traps caused by large concentrations of Pb2+ cations at the top surface of the perovskite film, as identified by both photothermal induced resonance (PTIR) measurement and density-functional theory (DFT) calculations, are critical for achieving high gain in these devices via a trapped-hole-induced electron injection mechanism.
However, most of the high gain photodetectors usually suffer from large noise, due to the frequency-dependent flicker noise dominating the noise spectrum instead of the shot noise, which is caused by the charge trapping and detrapping process. Moreover, the high-density charge traps in the active layers might swallow the weak light generated charges, which would dramatically reduce the device responsivity and ruin its weak irradiance detection capacity. As a result, in another attempt, electron and hole transport layer engineering was adopted to reduce the noise and hence improve the sensitivity of the hybrid perovskite photodetectors.2 The devices show very low noise (16 fA Hzminus;1/2 at minus;0.1 V) close to the shot and thermal noise limits, large linear dynamic range (LDR) of 94 dB and short response time of 120 ns, all of which are the best value ever reported for hybrid perovskite photodetectors. More remarkably, enabled by the trap passivation effect of double fullerene layer, we demonstrated that the hybrid perovskite photodetectors can directly measure the light irradiance down to sub 1 pW cm-2, in well accordance with the calculated noise equivalent power, making it promising candidate to replace the commercial high-cost Si photodiodes for weak panchromatic light detection.
Reference:
1. Dong, R. et al. Adv. Mater.27, 1912 (2015).
2. Fang, Y. et al.Adv. Mater.27, 2804 (2015).
3:15 AM - GG17.04
Planar-Integrated Single Crystalline Perovskite Photodetectors
Osman M Bakr 1 Makhsud Saidaminov 1 Valerio Adinolfi 2 Riccardo Comin 2 Ahmed Abdelhady 1 Wei Peng 1 Ibrahim Dursun 1 Mingjian Yuan 2 Sjoerd Hoogland 1 Edward H. Sargent 2
1King Abdullah University of Science and Technology (KAUST) Thuwal Saudi Arabia2University of Toronto Toronto Canada
Show AbstractPromising semiconductors - hybrid perovskites - suffer from morphological disorder limiting their optoelectronic properties and, ultimately, device performance. Single crystals of hybrid perovskites have been shown to exhibit low trap density, low intrinsic carrier concentration, high mobility, and long diffusion length that outperform perovskite-based polycrystalline disordered thin films. These impressive characteristics make the material an ideal choice for realizing fast and sensitive photodetector, however, these macroscopic single crystals cannot be grown on a planar substrate, shortening their potential for opto-electronic integration. Here we produce the first large-area planar-integrated films made up of large single crystals of hybrid perovskites. These crystalline films exhibit charge carrier mobility and lifetime comparable with single crystals. Only by using this new technique we were able to build a record-performance light detector showing the highest gain (>104 electrons/photon) and the highest gain-bandwidth product (> 108 Hz) ever reported for a perovskite-based optical sensor.
GG18: Plasmonic Materials
Session Chairs
Viktoriia E. Babicheva
Volker J. Sorger
Thursday PM, December 03, 2015
Hynes, Level 1, Room 103
4:00 AM - GG18.01
Fabrication, Characterization and Mechanism of Epitaxial TiN Nanowires on Sapphire and MgO Substrates for Advance Electro-Optical Applications
Chandra Shekar Reddy Nannuri 1 Dhananjay Kumar 1
1North Carolina Aamp;T State Univ Greensboro United States
Show AbstractThis paper reports a first-hand fabrication of single crystalline titanium nitride (TiN) nanowires using bottom-up method on sapphire (single crystal Al2O3) and magnesium oxide (MgO) substrates by catalyst-assisted pulsed laser deposition. The growth of TiN nanowires is found to strongly depend on the size of gold catalyst, substrate temperature, laser fluence and deposition pressure. The growth strategy involves depositing ultra-thin gold films and subjecting them to in-situ annealing in high vacuum for 10 min at 800 °C to form gold nanodots. This is followed by TiN nanowire deposition by ablating TiN target in 200 mtorr of nitrogen ambient. After deposition the samples were cooled down to room temperature in same ambient pressure. The TiN nanowires are found to have length in the range of 500-600 nm and diameter in the range of 40-50 nm. The metallic TiN nanowires were subsequently converted to semiconducting TiN1-xOx (TNO) oxinitride nanowires that have potential application in water splitting and hydrogen generation using visible solar light. The band gap of TNO was tailored to be in the visible range (lambda; > 415 nm) by controlling the oxygen content in TNO. In addition, we will also demonstrate how the growth parameters mainly substrate temperatures (600- 800 °C), laser fluence (2-5 J/cm2), growth time (5-25 min), particle size (20-60nm) and nanowires length (500-600nm) effect growth characteristics such as nucleation, size dependence, surface migration, crystal structure, crystal growth direction, and morphology and growth rate. We have performed HRXRD, SEM, EDX analysis for crystallographic properties, nanowires orientation relation with respect to the substrate and band gap measurements using electrical and optical measurements. In this presentation we will show results on epitaxial growth, highly oriented, material characterization of TiN NWs and their applications.
4:15 AM - *GG18.02
Practical Platform for Nanophotonics with Refractory Plasmonic Metal Nitrides and Transparent Conducting Oxides
Jongbum Kim 1 Nathaniel kinsey 1 Clayton T Devault 1 Aveek Dutta 1 Sajid Choudhury 1 Marcello Ferrera 1 Vladimir Shalaev 1 Alexandra Boltasseva 1
1Purdue Univ West Lafayette United States
Show AbstractThe field of plasmonics was initiated due to the strong interaction between waves and metals, where electromagnetic fields can be confined to sub-diffractional dimensions. As the field has significantly developed in recent decades, the effort to search the new classes of plasmonic materials has been important to advance the novel concepts into real, practical devices. Advances in alternative plasmonic materials, specifically CMOS-compatible materials including refractory transition metal nitrides and transparent conducting oxides (TCOs), have propelled a new generation of nanophotonic devices for many applications in on-chip optics, data storage, and energy conversion. Even though noble metals such as gold (Au) or silver (Ag) have been extensively employed to demonstrate numerous extraordinary plasmonic devices, these metals have some of drawbacks such as difficulty in fabricating ultrathin films, incompatibility with well-established processes for silicon-based products, and lack of tunability. Consequently, it is important to discover new materials within the field of plasmonics.
With a strong demand for highly stable refractory plasmonic materials to enable the high-temperature devices, transition metal nitrides have been proposed as an alternative to noble metals. Titanium nitride (TiN) is one of potential metallic component for refractory materials which can sustain its optical properties at high temperature (up to 1100 °C in the atmosphere). In addition, TiN can attain ultra-thin, ultra-smooth epitaxial films on substrates such as c-sapphire, MgO, and silicon. Previously, TiN was paired with CMOS#8209;compatible silicon nitride to enable a fully solid#8209;state hybrid plasmonic waveguide which achieved a propagation length greater than 1 cm for a ~8 mu;m mode size at 1.55 mu;m. In fact, designs using TiN have outperformed similarly structured gold waveguides due in large part to the reduced scattering loss of epitaxial quality films.
TCOs are low-loss plasmonic materials in the near infrared range. One of the valuable assets of TCOs is the intrinsic tunability of the optical properties. Our recent work has investigated optical tuning of AZO films by pump-probe spectroscopy, demonstrating a change in the refractive index of -0.17+0.25i at 1.3 mu;m with an ultrafast response less than 1 ps. Utilizing TCOs as a dynamic material, all-optical plasmonic modulators can also be realized when combined with the TiN/Si3N4 hybrid plasmonic waveguide. Simply by placing a thin layer of aluminum doped zinc oxide (AZO) on top of the waveguide structure, a modulator with very low insertion loss is achieved. Assuming this change in the refractive index for the AZO film, a modulation of ~0.4 dB/mu;m is possible in the structure with <0.1 dB insertion loss and an operational speed of 1 THz.
Consequently, alternative plasmonic materials offer an unprecedented opportunity for optimizing the performance and improving the functionality of devices.
4:45 AM - GG18.03
Plasmonic Compatibility of Conductive Transition Metal Nitrides via Laser Annealing
Nikolaos Kalfagiannis 2 Spyros Kassavetis 1 Gregory Abadias 3 Demosthenes Koutsogeorgis 2 Panos A. Patsalas 1
1Aristotle Univ Thessaloniki Greece2Nottingham Trent Univ Nottingham United Kingdom3Univ Poitiers Poitiers France
Show AbstractThe recent emergence of plasmonics, the science and technology of metallic nanostructures with light, promises radical breakthroughs in photonics and optoelectronics. A quest for alternative plasmonic conductors is taking place as of recent; an emerging category of alternative plasmonic materials is the conductive transition metal nitrides (TMNs), such as TiN, ZrN and TaN. These nitrides can form cubic rockaslt-type crystals and constitute a category of very important technological materials due to their exceptional mechanical properties, electronic conductivity, high melting point, refractory character and chemical stability over hostile environments. Finally, an exceptional asset is their compatibility with CMOS technology, which enables their easy integration and upscaling in realistic, mainstream electronic devices. The main drawback for their implementation in plasmonics is their high electron losses that originate mostly from their very low crystalline quality. Therefore, any application of TMNs in plasmonics requires the substantial improvement of the crystalline quality, which cannot be realized by thermal annealing due to their refractory character. Laser annealing (LA) can be a radical process that would revolutionize the plasmonic performance of TMNs via local, ultra-short (preventing oxidation) overheating to several thousands K resulting in substantial improvement of crystallinity and reduction of electron losses. In this work, we implement UV-LA (248 nm) to process a wide variety of TMNs, including TiN, ZrN, TaN, TixAl1-xN, TixTa1-xN, TixZr1-xN and TaxZr1-xN (02 and 10 Bar Ar). The dielectric function spectra of the LA-processed TMNs are measured by spectroscopic ellipsometry and they are used to calculate the basic features of localized surface plasmon resonance (LSPR) of nanoparticles of TMNs, such as the LSPR spectral position, quality factor and field enhancement factor, as well the features of the surface plasmon polariton (SPP) at planar interfaces, such as the dispersion relation, the SPP propagation length and the field enhancement factor.
5:00 AM - GG18.04
Infrared Plasmon Dynamics of Indium-Tin-Oxide Nanorod Arrays Mediated by Nonparabolic Band
Peijun Guo 1 Richard D Schaller 2 3 John Ketterson 4 Robert P. H. Chang 1
1Northwestern University Evanston United States2Northwestern University Evanston United States3Argonne National Laboratory Argonne United States4Northwestern University Evanston United States
Show AbstractUsing both steady state and transient absorption spectroscopies, we experimentally demonstrate indium-tin-oxide nanorod array (ITO-NRA) exhibit strong localized surface plasmon resonances (LSPRs) at near-infrared (NIR) and mid-infrared (MIR) ranges, and the infrared sub-picosecond plasmon dynamics of ITO-NRA is governed by the nonparabolic band structure of ITO. The NIR on-resonance pump excites the conduction band electrons of ITO from the ground state to high momentum states. The excited electrons possess heavier effective mass due to the conduction band nonparabolicity, and result in redshifts of both the NIR and MIR LSPRs of the ITO-NRA even without a change of the conduction band electron concentration. We semi-classically derived the plasma frequency under a nonparabolic conduction band to quantitatively model the infrared plasmon dynamics of ITO-NRA. Our work identifies a new scheme to manipulate infrared plasmons in a sub-picosecond timescale, sheds light on plasmon control of materials with unconventional band structures, and opens new opportunities for ultrafast optical switching, telecommunication and infrared sensing.
5:15 AM - GG18.05
Alloyed Metallic Thin-Films with Tunable Dielectric Function
Chen Gong 1 Marina S. Leite 1
1Univ of Maryland-College Park College Park United States
Show AbstractWe present a systematic study of how the dielectric function of noble metal alloyed thin-films containing Au, Ag, and Cu, vary as a function of composition. We use co-sputtering to fabricate very smooth thin-films with roughness < 10 nm and a continuous gradient in chemical composition. Surface plasmon resonance (SPR) experiments are performed, and the dispersion relation for the new material is inferred for the first time. We determine the dielectric function of the alloyed thin-films by ellipsometry measurements, where we combine transmission and reflection, and use a B-spline model to map the changes in light polarization. We find an excellent agreement between ellispometry and SPR experiments when quantifying the real part of the dielectric function for all alloyed samples. For both Au-Ag and Au-Cu we observe a strong non-linear variation in both real and imaginary parts of the dielectric function. Surprisingly, the value for the Ag-Cu alloyed thin-film depends linearly on composition. In several cases, the quality factor of the alloyed thin-film is higher than the one of the pure metals. The development of metal alloys with tunable dielectric function and modified dispersion relation is very promising for the development of materials with reduced loss, the current 'holy grail' in metamaterials. A detailed analysis of how loss can be reduced by combining noble metals will be discussed.
Ref: C. Gong et al, in review.
5:30 AM - *GG18.06
Making the Mid- and Far-IR Nano with Designer Plasmonic and Phononic Materials
Daniel M Wasserman 1
1Univ of Illinois Urbana United States
Show AbstractThe mid-infrared (mid-IR) spectral range (3-30µm) has become a burgeoning and dynamic field of research both for fundamental exploration as well as for more applied research in health and the environment, security and defense, communication, and sensing. At the same time, the areas of plasmonics and metamaterials have experienced explosive growth over the past decade, fueled in part by rapid developments in fabrication, characterization, computational science, and theory. Yet, the integration of plasmonic structures into mid- and far-IR optical systems has been slower to evolve. While scaling metamaterial and plasmonic geometries to these wavelengths is actually fairly straightforward, replicating the near-IR and visible optical properties of constituent materials in plasmonic, phononic, and metamaterial systems is less trivial, leading to very different behavior of scaled systems in these two wavelength ranges.
In this talk, I will discuss our group&’s recent work developing novel optoelectronic and plasmonic devices and structures for mid- and far-IR applications. I will demonstrate the advantages and disadvantages of utilizing traditional plasmonic metals in longwavelength structures, and use this discussion to motivate our recent work with highly doped semiconductors as designer mid-IR metals for plasmonic, metamaterial, and epsilon-near-zero applications. In particular, I will focus on the promise of these new plasmonic materials for nano-scale confinement of micron-scale wavelengths, and for potential applications in sensing, thermal emissivity control, and integration with new types of mid-IR and potential far-IR optoelectronic devices. Results demonstrating all-semiconductor perfect absorbers and nano-antennas,and hybrid plasmonic/optoelectronic devices will be presented. Finally, I will discuss how advances in mid-IR photonic and optical materials can be leveraged to explore the forbidding world of far-IR optics.
GG15: Nanoantennas and Dielectric Nanoparticles
Session Chairs
Viktoriia E. Babicheva
Juejun Hu
Thursday AM, December 03, 2015
Hynes, Level 1, Room 103
9:00 AM - *GG15.01
Resonant Semiconductor Nanoantennas and Metafilms
Mark Luitzen Brongersma 1
1Stanford Univ Stanford United States
Show AbstractSemiconductor nanostructures are at the heart of modern-day electronic devices and systems. Due to their high refractive index, they also provide a myriad of opportunities to manipulate light. When properly sized and shaped, they can support strong optical resonances that boost light-matter interaction over bulk materials and enable their use in controlling the flow of light at the nanoscale. In this presentation, I will discuss the use of individual, resonant nanostructures and dense arrays thereof (metafilms) in a variety of optoelectronic devices and illustrate how the performance of these devices can be improved by engineering the constituent nanostructure, size, shape, and/or spacing.
GG19: Poster Session IV: Emerging Materials and Platforms for Optoelectronics IV
Session Chairs
Thursday PM, December 03, 2015
Hynes, Level 1, Hall B
9:00 AM - GG19.01
Optimization of Metallic Precursor Film Properties for Kesterite Cu2ZnSnS4 by Two Stage Sequential Electrochemical Deposition of Cu and Sn-Zn Layers
Neha Bansal 1 Rachmat Adhi Wibowo 1 Bernhard Klampfl 1 Theodoros Dimopoulos 1
1Austrian Institute of Technology Vienna Austria
Show AbstractThe traditional preparation route of metallic precursor for crystallizing kesterite Cu2ZnSnS4 films is often carried out by three sequential deposition of Cu, Sn and Zn layers (denoted as Cu/Sn/Zn) where Cu layer is the first layer being deposited on Mo-coated glass substrate. The drawback of using this route is the inevitable formation of Sn islands on the Cu layer which lead to the irregular precursor surface morphology as well as the spatial compositional inhomogeneity. The use of chemical additives in the Sn electrolyte normally suppresses the Sn island formation although this may not be preferred since it increases the number of involved chemicals particularly when industrially oriented electrochemical deposition process is considered. In this contribution, an innovative route to prepare Sn island-free metallic precursor is proposed by two stage deposition of Cu followed by subsequent co-deposition of Sn and Zn layers (denoted as Cu/SnZn) which precludes the addition of any additives. The galvanostatic electrochemical deposition is employed for depositing the metallic layers from alkaline Cu and acidic Sn-Zn chloride-based mixture electrolytes. The control the metallic precursor composition towards desirable kesterite Cu-poor and Zn-rich composition is performed by depositing SnZn layers on a Cu layer from electrolytes containing 4.0 to 0.16 [Sn2+]/[Zn2+] molar ratios. The X-ray fluorescence measurement result on the as-deposited precursors suggests a preferential Sn deposition as denoted from the presence of a precursor high Sn concentration from an electrolyte contains [Sn2+]/[Zn2+] = 1. It is found that by lowering [Sn2+]/[Zn2+] molar ratio, the as-deposited precursor exhibit more elemental Zn concentration where a desirable composition is finally reached using [Sn2+]/[Zn2+] = 0.2. This two stage deposition leads to the homogeneous precursor surface morphology free from Sn island in comparison with traditional three stage deposition. The comparative study on the properties of kesterite films from both Cu/Sn/Zn and Cu/SnZn precursors is also presented and discussed.
9:00 AM - GG19.02
Highly Luminescent Lanthanide Complexes for Photonics and Plasmonics
Rabia Hussain 1 Natalia Noginova 1
1Norfolk State Univ Norfolk United States
Show AbstractSingle crystals of X(NO3)3middot;Bpy2 where X = Eu, Gd, Nd, Tm, Er and Yb were fabricated and optically characterized. Materials have good optical quality, can be excited at UV or the rare earth ion transitions, demonstrate high efficiency of luminescence and are suitable for thin film fabrication. We discuss fabrication approaches and possible applications. We also show that the emission properties of the materials can be strongly modified in plasmonic environment.
9:00 AM - GG19.03
Engineered 3D Solids from 2D Materials
Adam Woomer 1 Tyler Farnsworth 1 Jun Hu 1 Scott C. Warren 1
1Univ of North Carolina Durham United States
Show AbstractInnovation in technologies as varied as solar cells, medical sensors, and integrated circuits requires new strategies to engineer semiconductor properties. One strategy, designing semiconductors in two-dimensional (2D) form, has fueled recent progress by yielding materials with radically improved properties. Despite these advancements, the extreme thinness of 2D materials can make them impractical in many applications. Here we show that 3D layered solids built by restacking 2D semiconductors inherit the properties of their 2D components—especially their quantum-confined optoelectronic properties—even though these 3D solids are electrically conductive. We present a theoretical model based on Anderson localization to describe how 2D materials can be quantum confined while still being in direct physical contact. Specifically, we use density functional theory to investigate the two critical conditions for Anderson localization - site-to-site energy dispersion and positional disorder - for restacked 2D materials. We have experimentally confirmed our model using optical spectroscopy and temperature dependent conductivity measurements on both thick films of and precisely built stacks of 2D phosphorus. Indeed, we have found that there was negligible change in optical absorption when quantum confined 2D phosphorus was restacked, with samples showing good conductivity. Finally, we demonstrate the generalizability of this model by examining the optoelectronic properties of five different 2D semiconductors, including black phosphorus, MoS2, MoSe2, WS2, and WSe2. This strategy for engineering semiconductors will enable the design of 3D materials with arbitrary combinations of band gaps, conductivity, metallic, and insulating characteristics to meet the exclusive properties requirements of any application.
9:00 AM - GG19.04
Micro-Patterning of Glass and Polymer Photonic Devices Using Agarose Hydrogel Mediated Wet Etching
Okechukwu Ogbuu 2 Qingyang Du 1 Hongtao Lin 1 Lan Li 2 Yi Zou 2 Juejun Hu 1
1Massachusetts Institute of Technology Cambridge United States2University of Delaware Newark United States
Show AbstractIn this study, we demonstrate a simple maskless method to pattern micro-scale planar photonic components using agarose hydrogel mediated wet etching. Conventional wet etching process, while claiming low cost and high throughput, suffers from reproducibility and pattern fidelity issues due to the isotropic nature of wet chemical etching when applied to glasses and polymers. This method overcomes these challenges by using an agarose hydrogel stamp to mediate a conformal etching process. In our maskless method, agarose hydrogel stamps are patterned following a standard soft lithography and replica molding process from micropatterened photoresist masters and soaked in a chemical etchant. The micro-scale features on the stamp are subsequently transferred into glass and polymer thin films via conformal wet etching. We successfully demonstrated that the process can be applied to thin film photonic device fabrication in a wide range of glass and polymer materials including silica glass, tellurite glass, and polymer epoxy.
9:00 AM - GG19.05
Near-Field Nanoscopy of Black Phosphorus Degradation
Sampath Gamage 1 Li Zhen 3 Han Wang 3 Steve Cronin 3 Yohannes Abate 1 2
1Georgia State Univ Atlanta United States2Center for Nano-Optics (CeNO), , Georgia State University Atlanta United States3University of Southern California Los Angeles United States
Show AbstractBlack phosphorus (BP) is a promising layered material for optoelectronics applications due to its outstanding physical properties. Importantly, the thickness-dependent tunable direct bandgap of BP excited material scientists over graphene that lacks a natural bandgap. Similar to graphene, BP can be prepared commonly and simply by mechanical exfoliation. However, the major impediment of the BP based research is its surface degradation when exposed to atmospheric water and oxygen. Thus, in order to develop BP as a material for aforementioned applications, it is essential to study and understand degradation process down to a monolayer level at nanoscale chemical resolved resolution. In this contribution, we present the findings of the nanoscale spectroscopy degradation study of BP using scattering type scanning near-field optical microscopic (s-SNOM) technique at several mid infrared wavelengths. Using the amplitude and phase of the scattered field from a metal coated probe tip, we investigated over a long period of time the thickness dependence and substrate influence of a set of uncoated and Al2O3 coated samples of varying thicknesses.
9:00 AM - GG19.06
Enhanced Light Extraction Efficiency in Organic Light Emitting Diode with FTO Random Pattern
Yang Doo Kim 1 Kyung-Hoon Han 2 Min-Sub Byeon 1 Pill-Hoon Jung 1 Heon Lee 1
1Korea Univ Seoul Korea (the Republic of)2Seoul National University Seoul Korea (the Republic of)
Show AbstractOrganic light emitting diode (OLED) is expected as display and solid state lighting due to fast response, high color quality, applicability to flexible substrate and potentially low price. But, Outcoupling efficiency of OLED is still under 30% because of waveguide at glass/ITO interface and air/glass interface, surface plasmon polaritons (SPP) loss at organic/metal interface and internal absorption of materials. Light extraction efficiency still needs to be improved to be competitive in industrial area. Light extraction methods are classified with target modes to external light extraction for substrate mode and internal light extraction for waveguide and SPP mode. External extraction methods have been reported with micro lens array, rough surface and luminaire etc. but, have blurring effect at display panel. Internal extraction methods have been reported as low index layer, photonic crystal, high refractive index substrate, low index grid, Bragg grating, randomly dispersed nano-pillar array, nano-particles with thin electrode and moth eye but, is still remained challengeable because of largely confined lights, reliability of material, and availability at commercial display pixel etc.
In addition to light extraction efficiency, image quality is also important parameter in display panel. Light extraction method must be considered with pixel blur and radiation pattern. To achieve uniform radiation pattern and clear pixel, randomly distributed nano-structures with low haze were adopted as light extraction layer. Nano structure with proper distribution is needed. In this report, fluorine doped tin oxide (FTO) pattern was utilized as light extraction structure. FTO pattern, which grows with chemical vapor deposition method, has little periodicity or random distribution. This random structure was expected to extract SPP mode some extent and have same spectral distribution with viewing angles.
In this paper, grown FTO pattern was replaced with hydrogen silsesquioxane (HSQ) replica pattern to avoid absorption and resistivity of FTO. HSQ was already reported as stable material which is free of out-gassing and crack for fabrication process and had similar refractive index with glass. HSQ pattern was fabricated with nano-imprinting lithography (NIL) and showed similar structure with original FTO pattern. Enhancement ratios of FTO device were 1.25 (current efficiency), 1.35 (power efficiency) and 1.28 (EQE) at 1 mA/cm2. FTO device showed uniform spectrum with viewing angles.
9:00 AM - GG19.07
Hybrid-Polymer-Dielectric Mid-Infrared Waveguides for Label-Free Water Sensing
Pao Tai Lin 1 3 Igor Luzinov 4 Lionel C. Kimerling 1 Dawn Tan 2 Kathleen Richardson 5 Anu Agarwal 1
1Massachusetts Institute of Technology Cambridge United States2Singapore University of Technology and Design Singapore Singapore3Texas Aamp;M University College Station United States4Clemson University Clemson United States5University of Central Florida Florida United States
Show AbstractSilicon nitride (SiN) waveguides coated with poly glycidyl methacrylate (PGMA) were developed for chip-scale water detection. The hybrid waveguide structure is able to tailor the mid-IR evanescent wave into the PGMA layer and the surrounding water that consequently enhances the light-analyte interaction. A 7.6 times enhancement of sensitivity is experimentally demonstrated and explained by waveguide mode analysis.
GG15: Nanoantennas and Dielectric Nanoparticles
Session Chairs
Viktoriia E. Babicheva
Juejun Hu
Thursday AM, December 03, 2015
Hynes, Level 1, Room 103
9:30 AM - GG15.02
Optical Scattering Properites of a Single Dielectric Nano-Rod Antenna Formed by Electron Beam-Induced Deposition
Eun-Khwang Lee 1 Jung-Hwan Song 1 Kwang-Yong Jeong 2 Ju-Hyung Kang 2 Hong-Gyu Park 2 Min-Kyo Seo 1
1KAIST Daejeon Korea (the Republic of)2Korea University Seoul Korea (the Republic of)
Show AbstractRecently, the photonics community has given attention to dielectric antennas supporting leaky-mode resonances [1]. Low optical loss, sub-wavelength field confinement, and strong light-scattering characteristics of the leaky-mode resonances enable to generate vivid structural color [2], provide photonic artificial atoms for metasurfaces [3], and demonstrate highly efficient photovoltaic devices [4] or light emitting diodes [5]. To explore more diverse applications of optical nano-antennas, techniques allowing three-dimensional fabrication even on non-planar surface, such as optical fibers or near-field scanning optical microscope tips, are required. The electron beam-induced deposition (EBID) technique is one of the promising techniques for fabricating three-dimensional objects with nm-scale precision, but optical properties of bare EBID nano-structures as optical nano-antennas have been rarely investigated. In this study, we demonstrate EBID optical nano-rod antennas and investigate their leaky-mode resonant light scattering properties over the full visible range.
The EBID nano-rod antennas are fabricated on silicon substrate using precursor gas molecules from vaporized diffusion pump oil in the SEM chamber. The height and width of the EBID nano-rod antenna vary from ~90 to ~280 nm and from ~170 to ~210 nm, respectively, by adjusting the dose of the incident electron beam. The length of the nano-rod is fixed to be ~4.5 um. Energy-dispersive X-ray spectroscopy showed that the fabricated EBID nano-rods are amorphous alloys of C and O with an atomic ratio of ~88:12.
The resonant scattering properties of a single EBID nano-rod antenna were examined by polarization-resolved dark-field spectroscopy. A broadband visible light from tungsten-halogen lamp was incident on the EBID nano-antenna at an angle of 60 degrees with respect to the substrate, and scattering signal was measured through either color charge-coupled device (CCD) or spectrometer. The EBID carbonaceous nano-antenna supports both transverse-magnetic (TM) and transverse-electric (TE) leaky-mode resonances. As the cross-section of the nano-rod antenna increases, the structural color originating from the leaky-mode resonance changes from blue to red in both TM and TE polarizations. The dark-field scattering spectrum measurement shows that the TM mode resonance exhibits a stronger scattering intensity and longer wavelength than the TE mode resonance. Employing the dielectric function of the carbonaceous EBID material measured by the spectroscopic ellipsometry, finite-difference time-domain (FDTD) simulations successfully reproduce the experimental results.
References
[1] C. Linyou et al, Nano Lett.10, 2649 (2010)
[2] T. Khudiyev et al, Nano Lett. 11, 4661 (2011)
[3] Y. Yang et al, Nature Commun. 5, 5753 (2014)
[4] B. Tian et al, Nature449, 885 (2007)
[5] R. Könenkamp et al, Appl. Phys. Lett. 85, 6004 (2004)
9:45 AM - GG15.03
An Optical Rectenna
Baratunde A. Cola 1
1Georgia Inst of Technology Atlanta United States
Show AbstractAn optical rectenna - that is, a device that directly converts free-propagating electromagnetic waves at optical frequencies to d.c. electricity - was first proposed over 40 years ago, yet this concept has not been demonstrated experimentally due to fabrication challenges at the nanoscale. Realizing an optical rectenna requires that an antenna be coupled to a diode that operates on the order of 1 petahertz (switching speed on the order of a femtosecond). Ultralow capacitance, on the order of a few attofarads, enables a diode to operate at these frequencies; and the development of metal-insulator-metal tunnel junctions with nanoscale dimensions has emerged as a potential path to diodes with ultralow capacitance, but these structures remain extremely difficult to fabricate and couple to a nanoscale antenna reliably. Here we demonstrate an optical rectenna by engineering metal-insulator-metal tunnel diodes, with ultralow junction capacitance of approximately 2 attofarads, at the tips of multiwall carbon nanotubes, which act as the antenna and metallic electron field emitter in the diode. This demonstration is achieved using very small diode areas based on the diameter of a single carbon nanotube (about 10 nanometers), geometric field enhancement at the carbon nanotube tips, and a low work function semi-transparent top metal contact. Using vertically-aligned arrays of the diodes, we measure d.c. open-circuit voltage and short-circuit current at visible and infrared electromagnetic frequencies that is due to a rectification process, and quantify minor contributions from thermal effects. In contrast to recent reports of photodetection based on hot electron decay in plasmonic nanoscale antenna, a coherent optical antenna field is rectified directly in our devices, consistent with rectenna theory. Our devices show evidence of photon-assisted tunneling that reduces diode resistance by two orders of magnitude under monochromatic illumination. Additionally, power rectification is observed under simulated solar illumination. Numerous current-voltage scans on different devices, and between 5-77 degrees Celsius, show no detectable change in diode performance, indicating a potential for robust operation.
10:00 AM - GG15.04
Solvothermal Synthesis of Metal Oxide Nanoparticles for Heat-Ray Shielding
Tsugio Sato 1 Shu Yin 1 Chong-shen Guo 1 Hisaya Hama 1
1IMRAM, Tohoku University Sendai Japan
Show AbstractRecently, much attention has been attracted for the smart windows to reduce the energy consumption for air conditioning, and, thereby, to decrease the carbon dioxide emission by shielding the heat-ray from the sunlight into the room in summer, and from the room to the outside in winter for automobiles, buildings, etc. Nowadays, the thin films of various nanosized conductive compounds such as silver, indium tin oxides (ITO) , etc. are widely used as solar filters, however, the performances of these materials are not satisfactory. We focused the attention on the hexagonal tungsten bronze compounds and monoclinic (M) vanadium dioxide with the chemical formula of MxWO3 and VO2, and well dispersed nanocrystals of them were directly synthesized by the solvothermal reactions.
After dissolving a certain amount of WCl6 in the dehydrated ethanol-0-40 vol.% acetic acid mixed solution, CsOH was introduced to precipitate an amorphous precursor, followed by heating at 240oC for 20 h to form the MxWO3 nanoparticles, where the concentration of WCl6 was adjusted to 0.015 M with nominal Cs/W atomic ratio of 0.5. On the other hand, after adding the desired amounts of V2O5 and H2O2 in water, the solution was heated at 60oC for 12 h to form V2O5 sol. After that the V2O5 sol was heated with the desired amounts of N2H4 and water at 450oC for 1 h to form the VO2 (M )nanoparticles.
The thin film constructed with well-dispersed CsxWO3 nanocrystals showed the excellent visible light transparency as well as near infrared ray (NIR) shielding performance. The simulated experimental results confirmed the heat-shielding performance of CsxWO3 film superior to the commercial ITO glasses. On the other hand, the thin film of VO2 (M) nanoparticles showed the thermochromic property, transmtting the NIR at low temperatures and shielding it at high temperatures above ca. 70oC due to the insulator to metal phase transformation, where the phase transformation temperature could be decreased by doping with the W ion.
10:15 AM - GG15.05
Fano resonance and spectrally modified photoluminescence enhancement in monolayer MoS2 integrated with plasmonic nanoantenna array
Bumsu Lee 1 Joohee Park 1 Ganghee Han 2 Hoseok Ee 1 Carl Hugo Naylor 2 Wenjing Liu 1 A. T. Charlie Johnson 2 Ritesh Agarwal 1
1University of Pennsylvania Philadelphia United States2University of Pennsylvania Philadelphia United States
Show AbstractThe manipulation of light-matter interactions in two-dimensional atomically thin crystals is critical for obtaining new optoelectronic functionalities in these strongly confined materials. Here, by integrating chemically grown monolayers of MoS2 with a silver-bowtie nanoantenna array supporting narrow surface-lattice plasmonic resonances, a unique two-dimensional optical system has been achieved. The enhanced exciton-plasmon coupling enables profound changes in the emission and excitation processes leading to spectrally tunable, large photoluminescence enhancement as well as surface-enhanced Raman scattering at room temperature. Furthermore, at low temperatures, due to the decreased damping of MoS2 excitons interacting with the plasmonic resonances of the bowtie array, near strong coupling between exciton and plasmon is achieved resulting in a Fano lineshape in the reflection spectrum. The Fano lineshape, which is due to the interference between the pathways involving the excitation of the exciton and plasmon, can be tuned by altering the coupling strengths between the two systems via changing the design of the bowties lattice. The ability to manipulate the optical properties of two-dimensional systems with tunable plasmonic resonators offers a new platform for the design of novel optical devices with precisely tailored responses.
10:30 AM - GG15.06
Nanostructured Conductive SnOx (x
Xiaoxin Wang 1 Xiaobai Yu 1 Jing Kong 2 Jifeng Liu 1
1Dartmouth College Hanover United States2MIT Cambridge United States
Show AbstractPhotonic devices based on 2D materials such as graphene and transition metal dichalcoginides can greatly benefit from light trapping since these atomically thin materials have very limited absorption even though the absorption coefficient is very high. For example, a single layer graphene (SLG) can only absorb ~2.3% of the incident light, greatly limiting its quantum efficiency as free-space photonic devices. Patterned plasmonic nanostructures are proposed to enhance the electric field near graphene and thus improve SLG absorption [1][2]. Simulation results reveal that broadband visible absorption in SLG may be achieved by engineering plasmonic nanostructures, while maintaining its insensitivity to incident angle[1]. However, fewer experimental work has been reported on the enhanced absorption in graphene by utilizing plasmonic nanostructures, especially self-assembled ones that can provide ambipolar (both n and p type) electrical contact to the 2D material. Here we demonstrate that low-temperature self-assembled conductive SnOx (x<2) nano-needles can improve the absorption in graphene 2% to >12% in a broad wavelength range of 750-1600 nm, and the maximum absorption reaches 14% at 800 nm. The SnOx nano-needles were prepared by RF magnetron co-sputtering of Sn and SnO2 targets and subsequent low-temperature annealing at 225 °C. The composition and microstructure analysis indicate that SnOx nano-needles are Sn/SnO core-shell structures. The annealing ambient (N2 or air) can tune the size of Sn core size and change the polarity of SnOx film (p-type or n-type). The ambipolar behavior enables p-n heterojunction formation with 2D materials for optoelectronic devices. Theoretical analysis shows the broadband absorption enhancement in graphene cannot be explained only by the multi-scattering model developed for light trapping in thin film photonic devices with SnOx nanostructures[3]. The strong electromagnetic interaction between SnOx nanostructures and SLG plays an important role in the absorption enhancement in SLG. The maximum absorption peak corresponds to SnOx plasmonic resonant peak. The absorption peak is redshifted with the SnOx film thickness, in agreement with experimental observation. Further enhanced absorption in SLG and other 2D materials is expected with SnOx optimized structures.
[1] M. Hashemi, M. H. Farzad, N. A. Mortensen,and Sanshui Xiao, J. Opt. 15, 055003 (2013)
[2] Y. Cai, J. Zhua, and Q. Liu, Appl.Phys.Lett. 106, 043105 (2015)
[3] X. Wang, A. Wong, S. Malek, Y. Cai and J. Liu, Opt. Lett. 40, 2603(2015)
10:45 AM - GG15.07
Towards Nonlinear Optical Devices Assisted by Nano-Antennas: Polarization Independent and Larger Intensity Enhancement
Jacob Scheuer 1
1Tel Aviv University Tel Aviv Israel
Show AbstractAmong the useful and interesting properties of nano-antennas is their ability to focus light to extremely small volumes. The intensity in these volumes can be extremely high which is highly attractive for exploring and utilizing nonlinear optical phenomena. Consequently, much effort has been focused on increasing the ability to focus light and a wide variety of nano-antenna structure has been proposed and explored. However, while nano-antennas can focus light and achieve large intensity enhancement, their properties are often highly polarization dependent and their implementation requires careful alignment of the polarization state of the impinging field.
We present and analyze theoretically a new class of class of nano-antennas consisting of star-shaped geometrical arrangement of metallic triangular nano-structures. We show that the profile and the intensity of the field at the center of such “Star” nano-antenna depend on the number and geometrical properties of the nano-structures. We show that this intensity increases as the number of the “arms” is increased until a maximal level which depends on the geometrical properties of the triangular nano-structures. Particularly, for an optimal number of nano-structure the intensity at the center can exceed that of conventional “bowtie” antennas by almost a factor of two. In addition, the enhanced field profile is uniform and is independent of the polarization state of the impinging state.
In the talk, we will present a comprehensive theoretical study of the properties of such Star nano-antennas and the impact of the geometrical variables - the head angle of the triangles, their number, length, etc. on the achievable intensity enhancement. We will also present are progress towards the experimental demonstration of Star nano-antennas as well as an overview of the prospective applications and lookout for antennas with additional properties such as large chirality and broadband spectral response.
GG16: Optical Switching and Modulation
Session Chairs
Viktoriia E. Babicheva
Juejun Hu
Thursday AM, December 03, 2015
Hynes, Level 1, Room 103
11:30 AM - *GG16.01
Photonic MOS Based on ldquo;Optical Property Inversionrdquo;
Zhaolin Lu 1 Kaifeng Shi 1 Peichuan Yin 1
1Rochester Inst of Technology Rochester United States
Show AbstractGenerally speaking, dielectrics have positive dielectric constants, whereas metals have negative dielectric constants. Research on metamaterials has shown that the dielectric constant of materials can be engineered to be almost any arbitrary value. One example is materials with dielectric constant close to zero, i.e., epsilon-near-zero (ENZ) materials. Optically, ENZ is a critical point, where the optical property is transiting between “dielectric state” (with positive dielectric constant) and “metallic state” (with negative dielectric constant). Any slight change in the dielectric constant may result in “optical property inversion” or the switch between these two distinct states. In this talk, we will review some of our recent work on electro-optical modulation and introduce a new concept, photonic MOS based on “optical property inversion”. In particular, we demonstrate a MOS-like structure, with ENZ material replacing semiconductor as the active layer, for electroabsorption optical modulator applications. To distinguish it from conventional MOS, the structure to be investigated is named “MOZ”, which is the abbreviation of “Metal/Oxide/Zero permittivity material”. We will show that ENZ state works as an absorption resonator in the MOZ structure for transverse magnetic (TM) polarization of light. A gate voltage cross the MOZ structure may tune the active layer between ENZ and EFFZ states, and greatly alter the absorption of the MOZ structure. Therefore, the MOZ structure can work as an efficient “field effect optical modulator” (FEOM), i.e. MOZFEOM. While the whole paper only discusses theory and modelling, some new experimental results will be presented in the on-site talk.
12:00 PM - GG16.02
Motion-Driven Electrochromic Reactions for Self-Powered Smart Window System
Min-Hsin Yeh 1 Long Lin 1 Po-Kang Yang 1 Zhong Lin Wang 1
1Georgia Inst of Technology Atlanta United States
Show AbstractElectrochromic devices (ECDs) are developed to provide reversible changes of their optical properties via the electrochemical redox reactions corresponding to an external electric field. Such devices are widely applied in displays, switchable mirrors, and electronic papers. Specifically, some types of ECDs with non-volatile memory effect make them ideal candidates for energy-saving smart windows on infrastructures and automobiles, since this type of ECD can maintain at a certain coloring state with no additional power supply. On the other hand, the recently invented triboelectric nanogenerator (TENG) has been developed as a sustainable energy technology for converting the ambient mechanical energy into electricity, based on the coupling of contact electrification and electrostatic induction. In the past few years, its output power density and energy conversion efficiency have reached over 500 W/m2 and 70%, respectively. In this regard, the ECD can be integrated with a TENG as the power source instead of using batteries to provide a constant voltage across the device as a self-powered smart window system.
Here in this work, we established a fully-integrated self-powered smart window composed of a dual-mode TENG and an ECD. The entire device was a transparent, multi-layered structure, which was compatible with the smart window structure. The ECD was composed of Prussian blue (PB) nanoparticles and zinc hexacyanoferrate (ZnHCF) nanocubes as the electrochromic material and the ion storage layer, respectively. By operating with conventional electrochemical workstation, the maximum reversible change in transmittance (ΔT) of 32.6% could be achieved with respect to an external DC voltage. The TENG consisted of a multi-layered structure with micro-patterned polydimethylsiloxane (PDMS) thin films and transparent electrodes, which could be employed for harvesting the kinetic energy from wind impact and water droplet, with an optimized output power of 130 mW/m2. By assembling the two devices on the same substrate, the self-powered smart window system was successfully realized with a transmittance change of up to 32.4% with visualized color variations as well, which could compete with the results demonstrated by the electrochemical workstation. This work sheds light on motion-driven electrochemical reactions and paves the way for a promising applications of the TENGs, which will push forward the development of self-powered systems.
Reference:
M. H. Yeh, L. Lin, P. K. Yang, Z. L. Wang, ACS Nano, 2015, 9, 4757.
12:15 PM - GG16.03
Novel Multicomponent Chalcogenide Glasses for Manufacturable Gradient Index Lenses
Myungkoo Kang 1 Andrew M Swisher 1 Alexej Pogrebnyakov 1 Charmayne Smith 2 Kathleen Richardson 2 Carlo G Pantano 3 Theresa S Mayer 1
1Pennsylvania State University University Park United States2University of Central Florida Orlando United States3Pennsylvania State University University Park United States
Show AbstractThe size and weight of advanced imaging systems is currently limited by the complex lens assemblies that are required to minimize optical aberrations. Theoretical designs have shown that the ability engineer gradient refractive index (GRIN) optics with full three-dimensional (3D) control over their index profile has the potential to overcome constraints of traditional homogenous lenses by reducing the number of components in high performance optical systems. Here we present a new multicomponent infrared chalcogenide nanocomposite glass and laser-based manufacturing process that enables the formation of a controllable spatially varying refractive index within the glass component. An exceptionally large refractive index change of up to Δn = 0.15 is achieved while simultaneously maintaining a high optical transparency over a broad infrared transmission band, which is a requirement for imaging applications.
The multicomponent chalcogenide GRIN optical material in this work is composed of Ge-As-Se-Pb (GAP-Se) constituents, which separate into monosized high-index PbSe nanocrystals within a low-index Ge-As-Se-Pb glass matrix. The local effective refractive index within the optic can be estimated by the relative volume filling fraction and refractive indicies of the high- and low-refractive index components of the chalcogenide material, respectively. A controllable 3D GRIN profile is obtained by varying the volume filling fraction of high-index nanocrystals in the low-index glass matrix. Optical scattering is minimized throughout the spectral band of interest by optimizing the GRIN manufacturing process to limit the nanocrystal size to less than 100 nm throughout the matrix.
This talk will discuss the laser-based exposure process and thermal treatment process that can be used to convert the starting homogeneous GAP-Se glass into a GRIN optical component or device. In this multicomponent glass, the laser exposure generates a secondary Pb-rich phase that can be crystallized to form monsized PbSe nanocrystals by thermal treatment at a substantially lower time and temperature relative to the unexposed glass regions. Transmission electron microscopy and spectroscopic ellipsometry were used to correlate the nanocomposite microstructure to the optical properties for a range of laser exposure and thermal treatment conditions. These experiments demonstrated that the volume filling fraction of the nanocrystals can be controlled by the laser fluence, giving a spatially tailorable Δn up to 0.15. Examples will be provided to show how this GRIN material can be used to create macroscale bulk optical components as well as integrated microlenses for imaging applications.
This work was supported by the Defense Advanced Research Projects Agency under Air Force Research Laboratory contract number FA8650-12-C-7225 through the M-GRIN Tech Area 2 program.
12:30 PM - GG16.04
Dynamic Optical Modulation of Layered Metal Chalcogenide Nanoplates
Jie Yao 1
1Univ of California-Berkeley Berkeley United States
Show AbstractThe rich spectrum of properties in 2D layered structure crystals creates exciting prospects for potential applications in photonics, valleytronics, transparent electrodes and sensing. Here we demonstrate the dynamic electrical control of the optical properties of layered-structured Bi2Se3 nanoplates using ionic liquid gating. Based on the heavily n-doped nature of Bi2Se3, we experimentally achieved dynamic enhancement as well as reduction of light transmission through the nanoplates over a wide range. The observed results demonstrate a tunable transmission window in the visible and near infrared regions, caused by the simultaneous shifts of both the plasma edge at long wavelengths and absorption edge at short wavelengths. Similar dynamic tuning of optical properties were also observed in other layered-structured chalcogenide materials such as MoSe2. The ionic liquid gate controlled optical tuning provides potential applications in wide spectral range optical modulators and electrically controlled smart windows.
Symposium Organizers
Viktoriia E. Babicheva, Georgia State University
Sasan Fathpour, University of Central Florida
Juejun Hu, Massachusetts Institute of Technology
Volker J. Sorger, The George Washington University, School of Engineering and Applied Science
GG20: Plasmonic Nanoantennas
Session Chairs
Friday AM, December 04, 2015
Hynes, Level 1, Room 103
9:45 AM - *GG20.01
Ultrafast Spontaneous Emission from Quantum Dots Using Plasmonic Nanoantennas
Maiken H. Mikkelsen 1
1Duke Univ Durham United States
Show AbstractMetal-dielectric nanocavities have the ability to tightly confine light in small mode volumes resulting in strongly increased local density of states. Placing fluorescing molecules or semiconductor materials in this region enables wide control of radiative processes including absorption and spontaneous emission rates, quantum efficiency, and emission directionality. In this talk, I will describe our recent experiments utilizing a tunable plasmonic platform where emitters are sandwiched in a sub-10-nm gap between colloidally synthesized silver nanocubes and a metal film. Utilizing dye molecules with an intrinsic long lifetime, and cavities resonant with the emission, reveals spontaneous emission rate enhancements exceeding a factor of 1,000 while maintaining directional emission and high quantum efficiency [Akselrod et al. Nature Photonics 8, 835 (2014)]. Incorporating colloidal CdSe/ZnS semiconductor quantum dots into the nanocavities enables experimental demonstration of an ultrafast (<11 ps) yet efficient source of spontaneous emission, corresponding to an emission rate exceeding 90 GHz [Hoang et al., Nature Communications, accepted (2015)]. We show an increase in the spontaneous emission rate of a factor of 880 and simultaneously a 2,300-fold enhancement in the total fluorescence intensity, which indicates a high radiative quantum efficiency of ~50%. Finally, by also utilizing the second order mode of the cavity, optical processes at multiple energies can be optimized simultaneously. We demonstrate this by enhancing both the absorption and the quantum yield in monolayer MoS2 resulting in a 2,000-fold enhancement in the overall fluorescence [Akselrod et al., Nano Letters 15, 3578 (2015)]. This nanopatch antenna geometry can be tuned from the visible to the near-infrared, providing a promising approach for nanophotonics based on ultrafast spontaneous emission.
10:15 AM - GG20.02
Resonant Thermoelectric Nanoantennas for Narrowband Optical Wavelength Detectors
Kelly W. Mauser 1 Dagny Fleischman 1 Harry A. Atwater 1
1California Institute of Technology Pasadena United States
Show AbstractMetallic nanowire arrays can act as resonant antennas to couple light into thin-film waveguides to produce strong, narrowband absorption peaks in the optical wavelengths. For many nanophotonic antenna applications, high absorption is an undesirable quality, resulting in energy lost to heat generation. However, we demonstrate resonant thermoelectric antennas where instead, this “lost” energy can be harnessed to create a thermoelectric potential for high resolution hyperspectral sensors. Our antennas consist of thermoelectric wires coupled to dielectric waveguides, yielding a guided mode resonance structure that exhibits narrowband absorption. Electromagnetic simulations reveal that the resonant absorption can be shifted throughout the visible wavelength regime while still maintaining a high absorption of up to 60% and narrow bandwidth as small as 5 nm. This is done by varying the pitch and width of the nanowires and altering the waveguide thickness in the guided mode resonance configuration. Incorporating pixel arrays of thermoelectric nanowire antenna structures onto a single chip create a platform for a new type of ultra-narrowband hyperspectral optoelectronic detector. Using COMSOL simulations that incorporate thermocouple materials including alumel/chromel and Bi2Te3/Sb2Te3, we determined the variation in temperature across a 100 micron long nanowire device can be greater than 10 K for specific device geometries, and illumination power densities. The temperature gradient can produce between tens of microvolts to several millivolts of thermoelectric potential, depending on the parameters. Because of the small device size, steady state temperature and voltage can be reached in a few 10&’s of milliseconds. Devices have been fabricated using a multi-step process. Silicon nitride windows were used as the thin-film waveguide layer, and a spacer layer of silicon dioxide was deposited with PECVD on top of the window. Electron beam lithography was used to design the nanowires, and the thermoelectric materials and other components were deposited via sputtering or electron-beam evaporation. Both alumel/chromel and Bi2Te3/Sb2Te3 thermocouple resonant antenna devices have been fabricated. Optical characterization of thermoelectric performance as a function of power and wavelength will be discussed.
10:30 AM - GG20.03
Distance-Dependent Plasmon Assisted Luminescence of Gold Clusters on Gold Nanoparticles
Mitsuru Inada 1 Keisuke Kamiya 1 Tadashi Saitoh 1
1Kansai University Suita Japan
Show AbstractWe have studied the enhancement of photoluminescence of Au25 clusters on Au nanoparticles as a function of distance between them. Using a layer-by-layer polyelectrolyte deposition technique to insert spacer layers between clusters and nanoparticles. Distance-dependent enhancement and quenching of photoluminescence of Au25 clusters are observed. The maximum enhancement, by a factor of 3, is achieved for a 4-layer spacer (approx. 10 nm). Structural and optical investigations reveal that the enhancement and quenching happen due to competition between an effect of surface plasmons around Au nanoparticles and an effect of nonradiative resonant energy transfer from cluster to nanoparticle. The results suggest that this layer-by-layer method is one of the candidates to control the distance between cluster and nanoparticle and offers a stage not only to understand fundamental physics of field-matter interactions but also to produce emerging materials for new functional optoelectronic devices.
Sample preparation procedure is as follows. Au25 cluster consists of 25 gold atoms and is stabilized by human serum albumin. Au nanoparticles were formed on silicon or quartz substrates by thermal treatment of vacuum evaporated gold thin film. Mean diameter of the Au nanoparticle was 50 nm. We used polyelectrolyte multilayers as spacers between cluster and nanoparticle. In this experiment, the substrate with a monolayer of negatively charged Au nanoparticles was covered sequentially by pairs of poly(diallyldimethylammonium chloride)/polystyrenesulfonate sodium salt (PDADMAC/PSS) up to a total of 10 layers (25 nm in thickness) by layer-by-layer method. The samples were then covered with the Au25 clusters by drop cast. Details of sample preparation and optical characterization will be presented and discussed at the meeting.
10:45 AM - GG20.04
Spatial-Mapping of Photoemission from Plasmonic Nanoparticle Arrays
Richard Hobbs 1 William Putnam 1 2 Yujia Yang 1 Arya Fallahi 2 Franz Kaertner 1 2 Karl Berggren 1
1Massachusetts Institute of Technology Cambridge United States2University of Hamburg Hamburg Germany
Show AbstractUltrafast, nanoscale electron sources are of interest as sources for next-generation, time-resolved electron microscopes and spectrometers, as well as in modern vacuum nanoelectronic devices. In this work, we have characterized electron emission from plasmonic nanoantenna arrays driven by ultrafast pulses of infrared light. Moreover, we have investigated the spatial distribution of electrons emitted from those nanoantennas using a novel approach employing a layer of electron-beam-sensitive poly(methyl methacrylate) (PMMA).
The ability of plasmonic nanoparticles to spatially confine light to sub-wavelength regions and produce strongly enhanced nanoscale optical fields has lent them to a wide-variety of applications. Recently, these capabilities of plasmonic nanostructures have been used to develop ultrafast, surface plasmon-enhanced electron sources.[1,2] Understanding the nanoscale spatial distribution of electrons produced at nanostructured electron sources will be key to their implementation as electron emitters in applications such as ultrafast electron microscopy and spectroscopy, photochemical devices, and lightwave electronics. Mapping of electron distributions at nanoscale emitter arrays has to date been limited to a resolution of 40 nm using conventional imaging techniques such as photoemission electron microscopy (PEEM).
In this work, we have used PMMA as an imaging layer to probe the spatial distribution of electrons emitted from plasmonic Au nanoantennas on the sub-10 nm length scale. We fabricated arrays of 20-nm-wide Au nanorods by high-resolution electron-beam lithography (EBL) on indium tin oxide (ITO) substrates. The nanorod arrays were then coated with a 20-nm-thick layer of PMMA and electron emission was excited by illumination with a femtosecond, infrared laser source. PMMA was developed in 3:1 IPA:MIBK at 0 °C for 30 s allowing us to observe high-resolution maps of the plasmon-enhanced near-field and the associated electron distributions printed in the PMMA layer at the emitter arrays. We have investigated the near-field distributions for various antenna geometries and have also proposed an application for features formed in the PMMA layer as a mask for self-aligned deposition of materials in plasmonic hot-spots.
[1] P. Dombi, A. Horl, P. Racz, I. Marton, A. Trugler, J. R. Krenn, and U. Hohenester, Nano Lett. 13, 674 (2013).
[2] R. G. Hobbs, Y. Yang, A. Fallahi, P. D. Keathley, E. De Leo, F. X. Kaertner, W. S. Graves, and K. K. Berggren, ACS Nano 8, 11474 (2014).
GG21: Photodetection
Session Chairs
Friday AM, December 04, 2015
Hynes, Level 1, Room 103
11:30 AM - GG21.01
Single Photon Detection in the Presence of Metal Nanoantenna
Ilya Grigorenko 1
1New York City College of Technology Brooklyn United States
Show AbstractWe present theory for photodetection process in the presence of metallic nanoscale antenna.
The relative enhancement of the photon detection probability was calculated for different photon
frequencies and polarizations. The proposed asymmetric bio-inspired design of the nanoantenna
with three detectors allows the polarization resolution of the incoming photons.
11:45 AM - GG21.02
Directly-Printed Graded-Bandgap Quantum Dot Solids and Devices
Jin Young Kim 1 2 Valerio Adinolfi 1 Brandon Robert Sutherland 1 Oleksandr Voznyy 1 Seok Joon Kwon 6 Tae Wu Kim 3 4 Jeongho Kim 5 Hyotcherl Lee 3 4 Kyle Kemp 1 Michael M. Adachi 1 Mingjian Yuan 1 Illan Kramer 1 David Zhitomirsky 1 Sjoerd Hoogland 1 Edward H. Sargent 1
1University of Toronto Toronto Canada2KIST Seoul Korea (the Republic of)3Institute for Basic Science Daejeon Korea (the Republic of)4KAIST Daejeon Korea (the Republic of)5Inha University Incheon Korea (the Republic of)6KIST Seoul Korea (the Republic of)
Show AbstractFunctional graded materials have many research and industrial applications; however, scalable and reliable methods for fabricating such structures on the nanoscale have yet to be developed. Traditional nanoscale thin-film coating methods such as layer-by-layer spin-casting have been applied, but these methods are limited in resolution and are not scalable for manufacturing. Centrifugal coating can deal with these limitations by simply and rapidly processing nanomaterials and/or systems with gradient structures defined across length scales. Here we report the first gradient nanoparticle films to be constructed by a single step of centrifugal coating. By forming a stable colloid of quantum dots which are capped with electronic-conduction-compatible ligands we were able to leverage centrifugal casting to achieve a size-gradient nanocrystal thin film. This new method, termed centrifugal colloidal casting, is demonstrated to form films in a bandgap-ordered fashion with efficient carrier funneling towards the lowest energy layer. We fabricated a quantum funnel photodiode wherein the engineered size-tuned built-in field propels electrons to the desired electrode, improving rectification and ensuring efficient electron extraction in the absence of an external bias. The quantum-graded-base photodiode demonstrates the highest D* (normalized detectivity) among solution processed quantum dot photodetectors, as a result of the reduced noise and enhanced collection at zero bias resulting from this new nanomaterials processing strategy.
12:00 PM - GG21.03
Low-Power Flexible Light Sensors Based on ZnO Nanorods
Nageh K. Allam 1
1American Univ in Cairo New Cairo Egypt
Show AbstractIn this work, vertically aligned zinc oxide (ZnO) nanorods were successfully grown by a wet chemical bath deposition method on a ZnO seed-layer-coated Teflon substrate at room temperature. The strong and sharp (0 0 2) peak in the XRD pattern along with the calculated low compressive strain indicated the vertical growth of high-quality crystalline ZnO nanorods along the z-axis on the substrate. The field emission scanning electron microscopy images show the ZnO nanorods to have diameters ranging from 34 to 52 nm. Raman analyses revealed a high E2 (high) peak at 440.23 nm. A flexible ZnO nanorod-based metal-semiconductor-metal UV detector was fabricated. The device showed a sensitivity of 1466. The responsivity (R) of the device is 2.265 A/W, which is 20 times higher than that reported for ZnO-based PDs. Under low power illumination (370 nm, 1.5 mW/cm2), the device showed a relatively fast response and baseline recovery for UV detection. The prototype device shows a simple method for nanorod synthesis and demonstrates the possibility of constructing nanoscale photodetectors for nano-optics applications.
12:15 PM - GG21.04
The Kinetics of Ge Lateral Overgrowth on SiO2
Motoki Yako 1 Naoyuki John Kawai 1 Yasutaka Mizuno 1 Kazumi Wada 1
1The University of Tokyo Tokyo Japan
Show AbstractGe has been intensively studied as a photodetector (PD) material on a silicon photonics platform due to its relatively small bandgap among group 4 materials (0.8 eV = 1.55 µm) and CMOS compatibility. Lattice mismatch between Ge and Si causes, however, threading dislocations (~109 cm-2). The reverse leakage current strongly depends on the density of threading dislocations [1]. Challenging issue is to reduce the density of threading dislocations in Ge depletion layer. Some groups report that Ge laterally overgrows on the SiO2 selective epitaxial growth (SEG) mask and overgrown Ge shows a low density of threading dislocations (~106 cm-2) [2]. However, it is still unclear what determine the width of laterally grown Ge on the SiO2 SEG mask. In this report, the kinetics of Ge lateral overgrowth with thin SiO2 SEG mask is reported.
20 nm thick SiO2 was prepared by dry thermal oxidation of Si wafers at 900#730;C and were locally etched by buffered-HF to expose patterned Si surfaces for the SEG windows: the width of the SEG windows (WGe) 0.5 ~ 2.0 µm. Ge was grown on the patterned wafer by 2 step growth using Ultra High Vacuum CVD with GeH4/Ar gas at 4 x 10-3 Pa: a buffer layer at 370 #730;C and then a high quality Ge layer at 500 or 600#730;C.
When high quality Ge layer is deposited at 600#730;C, SEM observation clearly shows that (311) facet is formed when WGe is wider than 0.7 µm, and (311) facet is not formed in case of 0.5 and 0.6 µm WGe.
In WGe ge; 0.7 µm case, the overgrowth width of Ge on SiO2 get wider as WGe get nerrower; 110 nm at WGe = 0.7 µm and 64 nm at WGe = 2.0 µm. These results can be explained considering the difference of growth rate on (311) facet and non-facet (100) plane; Ge growth rate of the (311) facet is slower than that of (100) plane, and the (100) plane disappearance gets faster as WGe get narrower. After (100) plane disappearance, in this model, SEG Ge is covered by (311) facet and Ge atom land on SEG Ge migrates around the Ge surface and it settles down to the bottom of the (311) facet; grow on SiO2. Lateral growth rate of Ge is calculated as 0.9 nm/min from the results, regardless of the WGe.
In WGe = 0.5, 0.6 µm case, lateral growth width is narrower than that of 0.7 µm case. This results support our model; bonding is still remained on SEG Ge surface and it prevent Ge atom migration on SEG Ge.
When high quality Ge layer is deposited at 500#730;C, (311) facet can be seen in WGe = 0.6 µm case. This result indicates that low temperature growth helps (311) facet formation; low temperature growth is suitable for lateral overgrowth of Ge.
In conclusion, it is important to cover SEG Ge by (311) facet for Ge lateral overgrowth on SiO2. Narrow WGe enhances lateral overgrowth of Ge on SiO2 SEG mask and low temperature growth help (311) facet formation in narrow WGe area.
Dislocation density of Ge grown on SiO2 will be discussed.
[1] L.M.Giovane, et. al. Applied Physics Letters 78, 541 (2001).
[2] Y. Nakamura, et. al. Cryst. Growth Des. 11, 3301(2011).