Symposium Organizers
Wonbong Choi, University of North Texas
Albert Davydov, National Institute of Standards and Technology
Young Hee Lee, Sungkyunkwan University
Jud Ready, Georgia Tech Research Institute
Symposium Support
Graphene Square Inc.
Rocky Mountain Vacuum Tech., Inc.
EE2: Synthesis and Characterization of 2D Materials II
Session Chairs
Pulickel Ajayan
Joshua Robinson
Monday PM, November 30, 2015
Hynes, Level 2, Room 210
2:30 AM - *EE2.01
Plasma Assisted Physical Vapor Deposition of Large Area 2D Materials: Progress and Challenges
Andrey A. Voevodin 1 Christopher Muratore 2 Nicholas Glavin 1 Adam R. Waite 1 Michael Edward McConney 1 Michael Check 1 Jianjun Hu 1 John Bultman 1 Micheal Jesperson 1 Travis Shelton 1 Jason C Anders 1 Randall Stevenson 1 Rachel Naguy 1
1Air Force Research Laboratory Wright Patterson AFB United States2University Of Dayton Dayton United States
Show AbstractPlasma assisted physical vapor deposition (PVD) of large area two-dimensional (2D) materials is an emerging technology, which can allow for reproducible, substrate agnostic, and cost effective direct growth of semiconductor and dielectric heterostructures over wafer scale areas. Here we present our recent research into PVD growth of few-layer semiconducting transition metal dichalcogenides (e.g., MoS2, WS2, and others) and dielectric materials (e.g., BN). Pulsed DC magnetron sputtering from MoS2 targets in argon and pulsed laser deposition from BN targets in nitrogen were optimized to produce 2D materials on a variety of substrate materials, such as amorphous silicon dioxide, highly oriented sapphire and graphite, as well as flexible polymers. The thermodynamic tendency toward island formation is overcome by maximizing ad-atom mobility through the control of incident flux, ionization state, energies, and densities, while avoiding defect formation (i.e., vacancy creation by sputtering of S atoms). Plasma assisted PVD processes are shown to yield highly (002) oriented 2D polycrystalline films exhibiting sub-monolayer thickness variability over 40 mm diameter areas when using 30 mm diameter sputtering plasma sources. In-situ XPS and Raman spectroscopy were used to analyze film stoichiometry, structure, and initial growth stages. Pin-hole and gap free 2D MoS2 and BN films were confirmed by TEM, conductive AFM, Raman, and electrical probe measurements. PVD growth methods, coupled with shadow mask patterning, enable fabrication of top-gated devices through direct synthesis of 2D semiconducting materials, metallic materials for source-drain and gate electrodes and dielectrics (BN and Al2O3), eliminating a need for 2D layer transfer or photolithography. The challenges of plasma assisted PVD processes in maintaining 2D film stoichiometry and minimizing point defect formation are discussed with possible approaches for their mitigation. Practical routes for room temperature PVD growth of amorphous ultra-thin materials on polymer substrates followed with subsequent laser annealing for selected area conversion to crystalline 2D structures are outlined.
3:00 AM - EE2.02
Nucleation and Growth Mechanisms in Chemical Vapor Deposition Grown Molybdenum Disulfide
Jeffrey David Cain 1 Jinsong Wu 1 Fengyuan Shi 1 Vinayak Dravid 1
1Northwestern University Evanston United States
Show AbstractIn recent years there has been an explosion of activity within the materials science, physics, and chemistry communities focused on two-dimensional (2D) materials. This stems from their potential for advanced technological applications anchored by expected novel physical phenomena under extreme dimensional constraint. After graphene, the family of 2D materials that has received the most attention has been the transition metal dichalcogenides (TMDC), with MoS2 being the most heavily studied. Monolayers of the TMDCs were first isolated through mechanical exfoliation, or the “scotch-tape” method, but recently a chemical vapor deposition technique based on the reduction of transition metal oxide precursors (e.g. MoO3 or WO3) in the vapor phase has made direct growth of large area monolayers accessible.
While the method is widely used, the sulfurization of the oxide precursor and its transformation from MO3 to MS2 (with M being the transition metal) has gone largely unstudied. Additionally, the nucleation and growth mechanism of TMDC monolayers from the vapor phase has not been characterized and is not fully understood. Here, we study these mechanisms through the investigation of a MoS2-MoSe2 alloy directly grown onto 20 nm thick SiO2 membranes. We focus on the MoS2-MoSe2 nucleation centers which allow us to elucidate the growth dynamics of the CVD process at its early stages. Direct lattice imaging by scanning transmission electron microscopy, x-ray energy dispersive spectroscopy (EDS) and nano-beam electron diffraction of the nucleation cores was used to investigate the structure at the first stages of growth. Additionally, we use EDS to investigate the nuclei composition and map inhomogeneities in the molybdenum, sulfur, selenium, and oxygen distributions at the nanoscale. We observe the presence of a molybdenum oxy-sulfide nucleus, approximately 5 nm large, at the center of each sheet, wrapped in a Fullerene-like MoS2 structure from which the MoS2-MoSe2 monolayer growth proceeds. We present an atomic scale model of the nuclei and monolayer growth. By correlating theses measurements with thermodynamic and phase information, we hypothesize the specific reaction pathways for evolution of the MoO3 precursor to MoOxSy and finally to MoS2. These results have great implications for the design of 2D synthesis methods for other TMDCs in the future and represent a necessary step toward the realization of practical applications of 2D materials.
3:15 AM - EE2.03
Visualization of Phase Anisotropy in Black Phosphorus
Shoufeng Lan 1 Xiaomu Wang 2 Sean Rodrigues 1 3 Luohao Wang 4 Yonghao Cui 3 Han Wang 4 Fengnian Xia 2 Wenshan Cai 1 3
1Georgia Institute of Technology Atlanta United States2Yale University New Haven United States3Georgia Institute of Technology Atlanta United States4University of Southern California Los Angeles United States
Show AbstractLayered black phosphorus has triggered enormous interest since its recent emergence. Compared to most other two-dimensional materials, black phosphorus features a moderate band gap and pronounced in-plane anisotropy, which stems from the unique atomic-puckering crystal structure. The future potential of black phosphorus in optoelectronics demands a deeper understanding of its unique anisotropic behavior. In particular, the phase information of light when interacting with the material is imperative for many applications in the optical regime. In this report, we introduce a phase anisotropy measurement using polarization interferometry on BP flakes to uncover the anisotropic properties of this 2D material. Raman spectroscopy, I-V characteristic curves, as well as optical transmission, reflection and absorption spectra are collected to identify the two crystalline orientations (a- and b- axis) independently. Moreover, fundamental physical parameters, such as the dispersive index of refraction and the dielectric constant, are retrieved from the measured transmission and reflection spectra. In addition, we propose an interferometric measurement of phase anisotropy to not only precisely identify the crystalline axes with ultra-high sensitivity, but also unambiguously determine the optical principle axes (slow and fast) of the BP thin film. The measured optical retardance in the phase anisotropy measurement matches well with the calculated phase difference using the retrieved data. We also perform full-field phase imaging to visualize the optical anisotropy without scanning the sample. The background light transmitted from the isotropic substrate can be completely eliminated leaving a totally dark background and a distinct image from the destructive interference occurring on the substrate, hence demonstrating a highly valuable technique for surface characterization. The intensity contrast of the transmittances between the BP and the substrate using phase imaging can be an order of magnitude larger than that with regular transmission microscopy.
3:30 AM - EE2.04
Black Phosphorus Synthesis and Characterization Enabling High Performance Phosphorene Transistors
Nathaniel Wendel 1 Ryan Wu 1 Nazila Haratipour 1 Matthew Carl Robbins 1 Joshua Halverson 1 Andre Mkhoyan 1 Steven John Koester 1 Stephen A. Campbell 1
1Univ of Minnesota Minneapolis United States
Show AbstractThe lack of a bandgap in graphene and poor mobility in the transition metal dichalcogenides has led to the search for a new 2D material for making high performance FETs. We have explored ways to make black phosphorus for these applications. Red phosphorus, SnI4, and Sn were sealed in one end of a fused quartz ampoule. The ampoule was slowly ramped to temperature. The precursor-containing end reached 650 oC, while the opposite end reached 600 oC. The ampoule was left to soak for several hours, then ramped slowly back to room temperature [1]. The deposit on the surface of the cool end of the ampoule was glassy and showed red streaks suggesting incomplete phosphorus conversion. Above this layer, however, the material was polycrystalline with long narrow grains, primarily grown along the length of the ampoule. Raman spectroscopy and x-ray diffraction measurements show the material to be highly perfect with strong, narrow (FWHW ~0.2o) peaks corresponding to black phosphorus with no unidentified peaks.
High-resolution analytical scanning transmission electron microcopy (STEM) was used to study the synthesized sample. Atomic resolution annular dark-field-STEM (ADF-STEM) images captured along the [001] direction of the synthesized crystal showed the orthorhombic crystal structure expected of black phosphorus. The lattice parameters determined from these directly interpretable ADF-STEM images matched early X-ray measurements [2]. Furthermore, energy dispersive X-ray spectroscopy (EDX) used in conjunction with ADF-STEM showed a strong phosphorus signal, as expected for black phosphorus. Finally, electron energy loss spectroscopy is used to measure electronic transitions of atomic core-level electrons to the empty states above the Fermi energy for the synthesized sample. The measured phosphorous L2,3 edge from the synthesized sample matches those collected from commercial black phosphorus samples. Additionally, the measured L2,3 edge is consistent with DFT-calculated conduction band partial density of states for simulated black phosphorus.
This material was used to fabricate high-performance field-effect transistors. Devices used a pre-patterned local back gate electrode with a 15-nm-thick HfO2 gate dielectric. Flakes of phosphorene were then exfoliated and aligned to the local gate, followed by the deposition of Ti/Au contact metallization. P-channel MOSFETs on ~ 10-nm-thick flakes with effective gate lengths down to 0.3 um were fabricated and displayed excellent characteristics. The devices had an extrinsic transconductance (gm) > 200 mu;S/mu;m at a VDS of -2.0 V. For thinner flakes (~5 nm), a gm of ~30 mu;S /mu;m at VDS at -2.0 V were observed, while the devices displayed excellent on-to-off current ratio of 4.5 x 105 (2.7 x 104) at VDS = -0.1 V (VDS = -2.0 V).
[1] M. Köpf, N. Eckstein, D. Pfister, C. Grotz, I. Krüger, M. Greiwe, T. Hansen, H. Kohlmann, and T. Nilges, J. Cryst. Growth405, 6 (2014).
[2] A. Brown and R. Stig, Acta Cryst.19 (1965).
3:45 AM - EE2.05
Discovery of New Two-Dimensional, Ordered, Double Transition Metals Carbides (MXenes)
Babak Anasori 1 2 Yu Xie 3 Majid Beidaghi 1 2 Jun Lu 4 Brian Hosler 2 Lars Hultman 4 Paul R. C. Kent 3 Yury Gogotsi 1 2 Michel W Barsoum 2
1A.J. Drexel Nanomaterials Institute Philadelphia United States2Drexel University Philadelphia United States3Oak Ridge National Laboratory Oak Ridge United States4Linkouml;ping University Linkouml;ping Sweden
Show AbstractThe higher the chemical diversity and structural complexity of two-dimensional (2D) materials the higher the likelihood they possess unique properties. Herein, density functional theory (DFT) is used to predict the existence of two new families of 2D ordered, carbides (MXenes) - M'2M"C2 and M'2M"2C3 - where M' and M" are two different early transition metals, such as Mo, Cr, Ta, Nb, V, and Ti. In these solids, M' layers sandwich M" carbide layers, forming an ordered structure. By readily synthesizing Mo2TiC2Tx, Mo2Ti2C3Tx, and Cr2TiC2Tx (where T is a surface termination) we validated the DFT predictions. The ordering of M' and M" layers in these MXenes was proven by high resolution scanning transmission microscopy. Since the Mo and Cr atoms are on the perimeter, they control the 2D flakes' chemical and electrochemical properties. The latter was shown to have contrastive electrochemical behavior for Mo2TiC2Tx and Ti3C2Tx. This work enlarge the family of 2D materials, offering neoteric choices of structures, chemistries, and ultimately advantageous properties.
4:30 AM - *EE2.06
Symmetric 2D Vertical Heterostructures
Eric M. Vogel 1
1Georgia Institute of Technology Atlanta United States
Show AbstractSince the 1970s, resonant tunneling devices have attracted significant attention for their potential in a variety of applications including multi-valued logic, high frequency radar and communication systems, analog-to-digital conversion and signal processing. Vertical heterostructures consisting of two-dimensional (2D) materials such as graphene, hexagonal boron nitride (h-BN) and transition metal dichalcogenides (TMDs) have a variety of properties which can potentially overcome some of the limitations of epitaxial 3D semiconductor heterostructures. In this talk, simulations of 2D heterostructures will first be described including how various materials properties and parameters impact the predicted current-voltage characteristics. The second part of the talk will describe challenges associated with synthesizing and fabricating heterostructures based on large-area and manufacturable materials. Overall, the results provide important insights necessary for future, large-scale manufacturing of resonant and other 2D vertical heterostructure devices.
5:00 AM - EE2.07
Patterned and Enhanced Growth of MoS2 Using a Focused Ion Beam
Stephen Bartolucci 1 Daniel Kaplan 2
1ARDEC-RDECOM Watervliet United States2ARDEC-RDECOM Picatinny Arsenal United States
Show AbstractThe growth of two-dimensional transition metal dichalcogenides (TMDs) has garnered considerable attention in recent years. Semiconducting monolayers of materials such as MoS2, WS2, MoSe2 and WSe2 are being examined for their electrical and optoelectronic properties. Recently, vapor deposition techniques have been used effectively to grow high-quality two-dimensional structures on insulating substrates. This method has been shown to provide crystals with excellent properties and scalability to wafer scale processes. However, in the case of MoS2, much of the work has shown random growth of triangular MoS2 crystals on thermally grown silicon dioxide/silicon substrates. In this work, we study the patterned and enhanced growth of MoS2 on SiO2/Si using a focused ion beam (FIB). We show that the growth of MoS2 can be controlled at FIB pattern edges and within FIB produced channels on the surface. We have also extended this concept to the growth of MoS2 at platinum deposits on the SiO2 surface. The nucleation and growth of MoS2 is studied as a function of FIB structure, depth and shape. We also report, for the first time, the enhanced growth of MoS2 on insulating substrates that have been treated with an ion beam. We unequivocally show that regions of the substrate that have been exposed to the ion beam, even for short periods of time, show enhanced growth, i.e. higher density of MoS2 crystals, compared to regions that have not been exposed to the ion beam. We attribute this enhanced growth to charge trapping in the insulator surface, resulting in altered surface chemistry during chemical vapor deposition (CVD) growth of the MoS2. We have studied the growth as a function of ion dosage. The effect of electron beam exposed regions on the enhanced growth of MoS2 will also be discussed. Using Raman spectroscopy, we demonstrate that photoluminescence is achieved, confirming that monolayer and few-layer MoS2 is grown on the ion beam treated regions.
5:15 AM - EE2.08
Investigation of Grain Boundaries in CVD Grown MoS2
Dmitry Ovchinnikov 1 K. Marinov 1 D. Dumcenco 1 Andras Kis 1
1Ecole Polytechnique Federale de Lausanne (EPFL) Lausanne Switzerland
Show AbstractWe present the characterization of grain boundaries in polycrystalline CVD-grown MoS2 films. Epitaxial growth on sapphire substrates is achieved leading to preferred orientation of the domains, which is confirmed by transmission electron microscopy experiments. Using Scanning Kelvin probe microscopy the local potential drop across the three predominant types of grain boundaries in field effect transistors is investigated. These measurements demonstrate that the interfaces between single grains do not degrade the electrical conductivity, which is due to the well aligned growth of the single domains. Furthermore, the relatively high mobility of electrons in the polycrystalline material stays constant even in devices with channels of 80 µm containing multiple grains, separated by grain boundaries. Our approach is a step forward to fabrication of large-area, uniform and high quality single-layer CVD MoS2.
5:30 AM - EE2.09
Characteristics of Atomic Layer Deposited Tin Disulfide
Giyul Ham 1 Seokyoon Shin 1 Juhyun Lee 1 Wondeok Seo 1 Youngdo Kim 1 Hyeongtag Jeon 1
1Hanyang University Seoul Korea (the Republic of)
Show AbstractAmong 2D materials, graphene is extensively researched because of its high carrier mobility and high transmittances. Also, it has high flexibility because of very tightly bonded carbon atoms. However, graphene has a zero bandgap in pristine form without functionalization or structural modification, resulting in poor transistor performance like to low on/off ratio. In order to solve these problems, transition metal dichalcogenides (TMDC), such as MoS2 and WS2, have been suggested to study as a channel layer for thin film transistors due to its suitable bandgap and suitable electron mobility. However these materials similar to graphene have the same problem which is not compatible with current device manufacturing processes. Furthermore, conventional formation methods such as chemical transport method and chemical vapor deposition method are difficult to apply to the flexible substrate due to their high process temperatures. From the material and process point of views, we need to find new materials which are compatible with low temperatures and new process to deposit these materials at very low temperatures
In this study we will present about tin disulfide (SnS2) which is 2D structure and good candidate to compete with current 2D materials. This 2D SnS2 has bandgap of 2.1 eV and expects to lead high transistors performance with large Ion/Ioff and high mobility. However, 2D SnS2 has been studied with using exfoliation method in literature. This is not compatible with current device fabrication techniques. Therefore, we carried out to deposit single- and few- layers SnS2 using atomic layer deposition (ALD) method at 150 °C and annealed them to examine the change of crystallinity at various temperatures. ALD affords precise nano level control of film thickness because of sequential exposures of precursors and reactants, and it allows high-quality films at low temperatures. Further, it has advantage of excellent uniformity over large area. The characteristics of annealed- and as deposited-SnS2 were analyzed by various tools such as RAMAN, AFM, TEM, and XPS. And the transistors using single- and few layers of SnS2 were fabricated and their electrical properties were investigated using probe station (I-V measuring system).
5:45 AM - EE2.10
1-D Spin Polarized Channels in Transition Metal Dichalcogenide Heterostructures
Varun Mishra 1 Sayeef Salahuddin 1
1University of California, Berkeley Berkeley United States
Show AbstractWe propose a lateral heterostructure of monolayer materials where a one dimensional spin channel can potentially be realized. Specifically, we show that in a lateral heterojunction of two 2D materials such as MoS2/WSe2, a spin polarization of ~ 0.1 % along the channel for can be achieved. Further studies were done to study the physics of spin generation to maximize the polarization for these devices.
EE3: Poster Session I: Synthesis and Characterization of 2D Materials
Session Chairs
Monday PM, November 30, 2015
Hynes, Level 1, Hall B
9:00 AM - EE3.01
Synthesis of Hexagonal Boron Nitride Nanosheet by Atmospheric Pressure Chemical Vapour Deposition on Copper Surfaces
Majharul Haque Khan 1 Zhenguo Huang 1 Gilberto Casillas Garcia 2 Hua Kun Liu 1
1University of Wollongong Wollongong Australia2University of Wollongong Wollongong Australia
Show AbstractHexagonal boron nitride nanosheet (h-BNNS) represents one of the most widely studied 2D materials in the last decade, due to its extraordinary properties and potential applications. For example, h-BNNS has been proposed as an ideal substrate for graphene based electronics because of its ultra-flatness and structural similarity with graphene. The synthesis of large-area, homogeneous, and few-layered h-BNNS is still challenging, however. Among the various synthetic routes, atmospheric pressure - chemical vapour deposition (AP-CVD) is preferred on the grounds of its cost effectiveness and its ability to yield large-area h-BNNS from a single run. Nevertheless, maintaining the homogeneity and crystallinity of the nanosheets over a large surface area requires fine-tuning the variables in the AP-CVD atmosphere to their ideal level.
Copper has been widely used as a catalyst for the growth of h-BNNS. In our experiments, a comparative study of h-BNNS growth on solid and melted copper confirms the advantages of melted copper. On the solid copper, the h-BNNS is largely inhomogeneous and tends to adopt multilayer triangular islands along the defects on the solid copper, while on the melted copper it is single crystalline over several microns, with the majority of nanosheet being only 2 layers thick. Still, the quality of the synthesized h-BNNS on melted copper is far from ideal. We have observed torn and crumpled h-BNNS in some areas on the melted copper surface. The CVD parameters, such as the type and quantity of the precursor, cooling rate, atmosphere, and gas flow rate all need to be tuned to obtain perfect h-BNNS. The systematic investigation in this study is expected to lead to the growth of high quality h-BNNS through AP-CVD.
9:00 AM - EE3.02
Sub-Micrometer-Scale Identification of Grain Boundaries in MoS2 via Molecular Decoration
Mariana Prado 1 2 Regiane Nascimento 1 Matheus Matos 1 Barbara Faria 1 Helio Chacham 1 Bernardo Ruegger Almeida Neves 1
1Universidade Federal de Minas Gerais Belo Horizonte Brazil2Instituto Federal de Minas Gerais Santa Luzia Brazil
Show AbstractFollowing the realization of single layer graphene atop silicon oxide, much attention has been drawn towards two-dimensional materials. Monolayers of graphene, hexagonal boron nitride (h-BN) and transition metal dichalcogenides can be obtained either from mechanical exfoliation or chemical vapor deposition. Molybdenum disulfide (MoS2) has stood apart due to its eye-catching optical and electronic properties: single layer MoS2 has a non-zero and direct bandgap, making it a promising candidate for ultrathin electronics and photodetectors.
One of the issues that must be tackled before high quality MoS2-based devices can be achieved is grain boundary characterization and control, since it can induce deformation, stress, act as nucleation sites for chemical reactions or act as carrier trapping and scattering regions. Therefore, in the present work, we demonstrate a method for grain boundary characterization in MoS2 using a simple self-assembled monolayer (SAM) decoration method.
Spin coating octadecylphosphonic acid (OPA) ethanolic solution onto MoS2 yields a self-assembled monolayer that keeps registry with the crystallographic substrate beneath it. The SAM forms rippled domains that can be imaged with standard atomic force microscopy (AFM) under ambient conditions. Ab initio calculations reveal that these ripples are aligned with MoS2 armchair direction, similarly to other hexagonal lattice substrates such as graphene [1] and h-BN [2]. Moreover, due to the hexagonal lattice symmetry, these rippled domains may also form 60° and 120° angles between them [1, 2]. However, for MoS2 only, additional domains forming 12° angles are also observed. Since this is not a stable angle for the perfect OPA molecule-MoS2 substrate registry, these additional domains must indicate defect lines and/or grain boundaries. Interestingly, Stone-Wales-type defects have been predicted to cause 12°-angled grain boundaries in MoS2 and WS2 [3] and this has been recently observed for the case of WS2 [4]. Therefore, the facile SAM deposition followed by standard AFM analysis on ambient conditions proposed in this work enables the direct localization of MoS2 grain boundaries at sub-micrometer scales. Our samples, purchased from SPI supplies, usually exhibit a few boundaries per square micron. This scale is very pertinent to device fabrication and elusive to other grain boundary characterization methods, such as nonlinear optics and atomic resolution images. If needed, the OPA SAM can be removed after analysis using standard protocols for removing organic contaminations (such as PMMA) - with similar results.
References:
[1] Prado et al. ACS Nano 5, 394-398 (2011).
[2] Oliveira et al. Nano Research, 8(5): 1680 - 1688 (2015).
[3] Zou et al. Nano Letters 13, 253-258 (2013).
[4] Azizi et al.Nature Communications 5, 4867 (2014).
9:00 AM - EE3.03
Resonant Raman Scattering in a Few Monolayer MoTe2 - from Monolayer to Bulk
Magdalena Grzeszczyk 1 Katarzyna Golasa 1 Malgorzata Pilat 1 Karol Nogajewski 2 Marek Potemski 2 Andrzej Wysmolek 1 Adam Babinski 1
1University of Warsaw Warszawa Poland2Laboratoire National des Champs Magnetiques Intenses Grenoble France
Show AbstractMonolayer molybdenum ditelluride (MoTe2) with a direct bandgap of approx. 1.1 eV complements monolayers of other dichalcogenides in the efforts to cover a broader energy spectrum. This justifies investigation of basic properties of a few layer MoTe2 and in particular studies of its lattice dynamics.
We study the Raman scattering in atomically thin crystals of MoTe2 using lambda;=632.8 nm laser light excitation. We focus on out-of-plane vibrational modes (A1g) and on low-frequency rigid interlayer vibrations of energies below 50 cm-1.
A complex structure of A1g mode is observed in a few monolayer MoTe2. The lineshape of the spectral features strongly depends on the number of layers. The evolution of the spectrum is explained in terms of interactions between nearest-neighbor layers of MoTe2.
In particular one mode of out-of-plane vibrations is observed in monolayer, as there is just one MoTe2 molecule in the unit cell of monolayer. There is one out-of-plane Raman-active mode and one IR-active mode in bilayer. One peak is observed in the Raman spectrum of the bilayer, however its asymmetric lineshape suggests the presence of its lower-energy component presumably due to the IR-active A2u mode. There are two Raman active modes observed in trilayer. Two out-of-plane Raman active modes are expected in tetralayer. Surprising is the presence of yet another mode in the Raman spectrum of the tetralayer. One of the observed peaks must be due to normally IR active A2umode, which becomes visible due to the resonance excitation. The increase in the number of layers results in the increase in the number of possible vibrational modes. The analysis of the interactions between the adjacent layers allows to predict degeneracies of some of the modes. The mode corresponding to the in-phase movement of Te atoms in all layers, which appears at the highest energy can be distinguished in all of the investigated spectra ( up to N=9) and evolves eventually into A1gmode in bulk. Other modes condensate into a broad band at slightly lower energy and become inactive in bulk.
Shear modes, related to rigid interlayer vibrations are also identified. Their energy evolution with the increasing number of layers is well described using a linear chain model with only nearest neighbor interactions. The corresponding in-plane (shear) force constant equals Kx=3.5middot;1019N/m3.
The rich structure of the observed Raman spectrum due to the out-of-plane mode results from the resonant character of the excitation with red light. The energy of exciting photons (1.96 eV) coincides with the maximum of the electronic density of states (2.07 eV in bulk) in the first valence band and the second lowest conduction band at the M point of the Brillouin zone.
This resonance effect allows for unambigous identification of the MoTe2 thickenss at a few layer scale.
9:00 AM - EE3.04
Probing the Stacking Configuration of Twisted Bilayer MoS2 Using the Low-Frequency Interlayer Raman Modes
Shengxi Huang 1 Xi Ling 1 Liangbo Liang 2 Alexander Puretzky 3 David B. Geohegan 3 Jing Kong 1 Vincent Meunier 2 Mildred S. Dresselhaus 1
1MIT Cambridge United States2Rensselaer Polytechnic Institute Troy United States3Oak Ridge National Laboratory Oak Ridge United States
Show AbstractMoS2 is a layered material, promising for optoelectronic applications, mainly due to its strong optical response, such as photoluminescence and light absorption. However, the intensities of photoluminescence emission and light absorption decrease dramatically from monolayer to bilayer or more, which suggests strong interlayer coupling in MoS2. In this work, we measured the interlayer breathing and shear Raman modes for twisted bilayer MoS2 with different twisted angles between the two layers. We proved that these Raman modes are experimentally observed to be sensitive to interlayer coupling strength, which has been confirmed by our density-functional theory calculations. Shear modes are only observed when the twisted angles are close to 00 or 600, while breathing modes occur for all the twisted angles. At the vicinity of 00 or 600, both shear and breathing modes show large variations in their frequencies and intensities: for interlayer shear modes, the frequency variation can be as large as 8.2 cm-1, and intensities can be more than 4.6 times different; for interlayer breathing modes, the frequency differences are more than 4.6 cm-1, and intensities are 2.9 times different. These variations of interlayer shear and breathing modes near 00 or 600 are due to the different stacking configurations despite the same twisted angles, for example, 2H, AB&’ and A&’B which are all of 600 twisted angle but have different translational shifts. These translational shifts can result in significant differences in interlayer coupling strength, which directly affects the interlayer Raman modes. Compared to high-frequency Raman modes, the low-frequency interlayer breathing and shear modes are much more sensitive to interlayer coupling of twisted bilayer MoS2. These low-frequency modes can be used to effectively probe interlayer stacking configurations including both twisted angles and translational shifts of twisted bilayer MoS2.
9:00 AM - EE3.05
Dermal Fibroblast Contraction of Graphene-Collagen Gels
Rebecca Isseroff 1 2 Arthur Chen 1 Jae Cho 3 Marcia Simon 2 Miriam Rafailovich 2 . Huang
1Lawrence High School Cedarhurst United States2SUNY Stony Brook Stony Brook United States3Boston University Boston United States
Show AbstractThe hydrophilic properties of graphene oxide will be exploited by incorporating it into collagen gels. It is known that graphene oxide enhances dermal fibfloast proliferation. Here we explore the influence of graphene oxide on dermal fibroblast function with the emphaisis on collagen contraction.
9:00 AM - EE3.06
Bottom-Up Growth and Properties of High-Quality Transition Metal Dichalcogenide Atomic Layers on Hexagonal Boron Nitrides
Mitsuhiro Okada 1 Sihan Zhao 1 Kenji Watanabe 2 Takashi Taniguchi 2 Hisanori Shinohara 1 Ryo Kitaura 1
1Nagoya Univ Nagoya Japan2NIMS Tsukuba Japan
Show AbstractTransition metal dichalcogenides (TMDs) have attracted a great deal of attention because of their unique properties: spin-valley-coupled electronic structure, valley pseudospin degree of freedom, intense photoluminescence and FET operation. The family of TMDCs atomic layers has provided a brand new and widespread platform to investigate physics in two-dimension, which platform will lead to the novel future application called valleytronics. To explore the fascinating possibilities of TMDCs, high-quality samples are indispensable.
In this work, we have focused on growth of high-quality TMDs (WS2, WSe2, MoSe2 etc.) by bottom-up methods including chemical vapor deposition (CVD) and molecular beam epitaxy (MBE). In this work, we have focused on realization of high-quality samples, and hexagonal boron nitride (hBN) has been used as a growth substrate; atomically flat surface of hBN is the key in growth process and investigation of intrinsic properties of TMDs.
We have successfully grown, for example, triangular WS2 crystals directly on hBN. The grown WS2/hBN sample shows a sharp PL emission with FWHM of 26 meV at room temperature, which fact indicates that the quality of the present WS2/hBN sample is extremely high. In addition, we have found the strict relation in crystallographic orientation between WS2 and hBN, indicating a moiré superstructure in this system. Low-temperature (down to 80 K) PL measurements have shown that the present sample shows not only excitonic feature but also an emission peak that probably arise from formation of biexciton. Appearance of the biexciton feature at 80 K indicates the high-quality of our sample, which will lead to further exploration of intrinsic properties of TMDs. In the presentation, we are going to discuss details of growth method, optical and electronic properties of the grown TMDs.
9:00 AM - EE3.07
Low Temperature Formation of Layered MoS2 by Sulfurization of E-Beam Evaporated Mo Thin Film Using (t-C4H9)2S2
Yusuke Hibino 1 Seiya Ishihara 1 Naomi Sawamoto 1 Takumi Ohashi 2 Kentarou Matsuura 2 Hideaki Machida 3 Masato Ishikawa 3 Hiroshi Sudoh 3 Hitoshi Wakabayashi 2 Atsushi Ogura 1
1Meiji University Kawasaki Japan2Tokyo Institute of Technology Yokohama Japan3Gas-phase Growth Ltd. Koganei Japan
Show AbstractAs MoS2 has attracted much attention due to its superior electronic and optical properties, the material fabrication technique has become an active topic as well. This study focuses on the sulfurization of e-beam evaporated Mo deposition using metal organic (MO) precursor for sulfur. Since device fabrication on flexible substrates, which is attractive for the display usage and many others, requires low temperature processes, low temperature formation technique of the layered MoS2 has been intensively investigated. MO precursor provides high vapor pressure even at low temperature, thus it should be suitable for a low temperature process [1]. In this study, we fabricated layered MoS2 films by sulfurizing e-beam evaporated metal Mo using MO precursor. We investigated the MoS2 quality formed at various temperatures on different substrates with several kinds of surface treatment prior to the Mo deposition.
In the experiment, metal Mo was deposited onto the SiO2/Si or (111)Si substrate by the e-beam evaporation at a rate of 1.3 Å/s. The samples were then subjected to the sulfurization for 1 hour using MO sulfur precursor, [(t-C4H9)2S2] [1]. Cleaning method of the substrates were varied as well: no cleaning at all, cleaning using acetone and ethanol, cleaning using SPM, and cleaning with combination of the two. During sulfurization process, the substrate temperature was varied from 200°C to 500°C. The film quality was investigated using Raman spectroscopy, and the Mo to sulfur composition was examined using XPS. TEM observation was also carried out.
As the sulfurization temperature was elevated from 200°C to 500°C, the composition of Mo and sulfur shifted from 1:0.1 at 200°C to 1:2.0 at 500°C. At 300°C the composition was 1:1.3 which was a great improvement compared to the result at 200°C suggesting that MoS2 fabrication began at this temperature. The frequency difference of the two characteristic Raman mode peaks, A1g and E12g, decreased as the temperature increased from 300°C to 500°C. These results suggest that the metal Mo was able to migrate, enabling itself to form layered crystal structure with the entering sulfur atoms in more orderly manner. Between the substrate difference, (111)Si demonstrated better film quality and composition ratio than SiO2. This is most likely due to the lattice matching between (111)Si and MoS2, since they both exhibit hexagonal crystal structure at the surface. As for the cleaning method, the sample which had been cleaned with acetone and ethanol provided the result of Raman spectroscopy closest to that of bulk. We have also confirmed 1, 2, and 3 layer 2-dimensional MoS2 film were successfully fabricated by TEM.
In conclusion, we have demonstrated layered MoS2 fabrication by the sulfurization of e-beam deposited metal Mo using MO precursor at low temperature. We believe this provided the practical process for device fabrication.
[1] S. Ishihara et al., MRS Proc. 1781, mrss15-2133709 (2015).
9:00 AM - EE3.08
Fabrication of High-Quality Single- and Few-Layer MoS2 Films by Combination of Sputtering Deposition and Post-Deposition Sulfurization Annealing
Seiya Ishihara 1 Yusuke Hibino 1 Naomi Sawamoto 1 Kohei Suda 1 Takumi Ohashi 2 Kentarou Matsuura 2 Hideaki Machida 3 Masato Ishikawa 3 Hiroshi Sudoh 3 Hitoshi Wakabayashi 2 Atsushi Ogura 1
1Meiji University Kawasaki Japan2Tokyo Institute of Technology Yokohama Japan3Gas-Phase Growth Ltd. Koganei Japan
Show AbstractTwo dimensional layered MoS2 shows great promise for various device applications because of its excellent electrical and optical properties even in ultrathin regions. In our previous studies, layered MoS2 thin films have been fabricated by RF magnetron sputtering which can operate under high vacuum conditions (<10-6 Pa) and produce large-area uniform thin films at lower deposition temperatures (<300°C) with superior mass productivity. The previous experiments have shown that sputtered-MoS2 thin film has extremely low carrier density compared to an exfoliated MoS2 (1/1000) and the mitigation of the carrier density makes the positive threshold voltage MOSFET operation expected by TCAD simulation [1]. For the above reasons, sputtering can be a candidate to realize enhancement-mode MoS2 MOSFETs at low deposition temperature. However, it was difficult to fabricate high-quality MoS2 thin film by sputtering because sulfur vacancies exist in the sputtered-MoS2 caused by difference of sputtering yield between molybdenum and sulfur [2]. In order to complement sulfur vacancies and improve film quality, we performed post-deposition sulfurization annealing (PSA) of sputtered-MoS2 films using metal organic precursor. In this study, we report the fabrication of high-quality single- and few-layer MoS2 films on SiO2/Si substrate at relatively low temperature by combination of sputtering deposition and PSA.
Layered MoS2 thin films were deposited at 300°C on SiO2/Si substrate. Then, PSA of sputtered-MoS2 films were performed at 500°C using (t-C4H9)2S2 as a sulfur precursor which has sufficiently high vapor pressure (2.7 kPa at 84°C). Film quality and layer number of MoS2 thin films were investigated by TEM, XPS and Raman spectroscopy. From the cross sectional TEM observations, it was confirmed that the layered MoS2 films were formed on the SiO2/Si substrate with thickness of 0.65, 2.0, 3.3 and 4.5 nm corresponding to 1L, 3L, 5L and 7L, respectively. Layer number of sputterd-MoS2 films was accurately controlled by changing the sputtering duration. From the investigations by XPS, MoS2 films after PSA showed stoichiometric composition (S/Mo=2) while the composition of as-deposited MoS2 films were non-stoichiometric (S/Mo<2). It is suggested that sulfur vacancies were complemented with sulfur atoms by the PSA. As the results of Raman investigations, two characteristic MoS2 Raman peaks, E12g and A1g, were observed in the single- and few-layer samples after PSA which were not observed in the as-deposited films. Therefore, it was considered that high-quality crystalline MoS2 thin films were obtained by combination of sputtering deposition and PSA. The frequency difference between E12g and A1g peaks decreased with decreasing layer number. This layer number dependence of Raman peaks was consistent with previous Raman studies of exfoliated MoS2.
[1] T. Ohashi et al., Jpn. J. Appl. Phys. 54, 04DN08 (2015).
[2] S. Ishihara et al., MRS Proc. 1781, mrss15-2133709 (2015).
9:00 AM - EE3.09
Secondary Electron Imaging Contrast Inversion of Metal Dichacolgenides Nanoflakes
Filippo Fabbri 1 Enzo Rotunno 1 Lucia Nasi 1 Daniel Kaplan 2 Laura Lazzarini 1 Venkataraman Swaminathan 2 Giancarlo Salviati 1
1IMEM-CNR Parma Italy2U.S. Army RDECOM-ARDEC Picatinny United States
Show AbstractTwo-dimensional (2D) materials are a new type of material under intense study because of their interesting physical properties and wide range of potential applications from nanoelectronics[1] to sensing and photonics[2]. Monolayers of semiconducting transition metal dichalcogenides such as molybdenum disulfide (MoS2) or tungsten disulfide (WS2) have been proposed as promising channel materials for field-effect transistors. An advantage of 2D metal dichalcogenides e.g. with respect to graphene is that, due to quantum mechanical confinement, the band gap of monolayers and bilayers of MoS2 becomes direct in nature [3],[4].
In this work we investigate the effect of varying the electron beam accelerating voltage on the secondary electron (SE) imaging contrast of MoS2 nanoflakes. The MoS2 nanoflakes are grown on Si/SiO2 substrates by a conventional chemical vapor deposition process using MoO3 and sulfur powders, as solid precursors.
We report that the SE contrast between MoS2 nanosheets and a SiO2 substrates has a particular dependence on the accelerating voltage. In fact the SE contrast reverses from dark to bright between 1 keV and 2 keV in the case of bilayer flakes, confirmed by atomic force microscopy. These results open a new possibility to develop a quick and reliable technique for the recognition of the number of layers in the case of transition metal dichalcogenides by using voltage dependant SE imaging.
References
[1] H. Wang, L. Yu, Y.-H. Lee, Y. Shi, A. Hsu, M. L. Chin, L.-J. Li, M. Dubey, J. Kong, and T. Palacios, Nano Letters 12, 4674 (2012).
[2] O. Lopez-Sanchez, E. Alarcon Llado, V. Koman, A. Fontcuberta i Morral, A. Radenovic, and A. Kis, ACS Nano 8, 3042 (2014).
[3] K. F. Mak, C. Lee, J. Hone, J. Shan, and T. F. Heinz, Physical Review Letters 105, 136805 (2010).
[4] A. Splendiani, L. Sun, Y. Zhang, T. Li, J. Kim, C.-Y. Chim, G. Galli, and F. Wang, Nano Letters 10, 1271 (2010).
9:00 AM - EE3.10
110 X 144 mm2 Freestanding Nanometer Thickness Graphite Film by Vertical Transfer Method
Soo-Young Kim 1 Seul-Gi Kim 1 Dong-Wook Shin 1 Tae Sung Kim 1 Ji-Beom Yoo 1
1Sungkyunkwan University Suwon-si Korea (the Republic of)
Show AbstractIn realization of extreme ultraviolet lithography (EUVL), pellicle, physical particle shield, which controls defect of mask has received a considerable attention. For fabricating pellicle for EUVL, large area freestanding nanometer thickness film is required. We report 110 X 144 mm2 pellicle using freestanding nanometer thickness graphite film (NGF) with high quality first time. EUV transmittance of the pellicle was 72%. NGF was synthesized using CVD with CH4 and Ni substrate. The large-area freestanding film can be achieved by Vertical Transfer Method (VTM). Theoretical analysis of the force imposed to the freestanding film during the transfer process was carried out depending on the scooping angle. As the angle increases, the force decreases, resulting in the lowest force at vertical angle. Details of the VTM will be discussed. Optical and thermal properties and chemical stability of freestanding NGF were evaluated. Our results confirm the feasibility of freestanding NGF as a EUVL pellicle.
9:00 AM - EE3.11
Acoustic-Excitonic Coupling for Dynamic Photoluminescence Manipulation of Quasi-Two-Dimensional MoS2 Nanoflakes
Sumeet Walia 1 5 Amgad Rezk 2 5 Rajesh Ramanathan 3 Hussein Nili 1 5 Jianzhen Ou 4 5 Vipul Bansal 3 James Friend 6 5 Madhu Bhaskaran 1 5 Leslie Yeo 2 Sharath Sriram 1 5
1RMIT University Melbourne Australia2RMIT University Melbourne Australia3RMIT University Melbourne Australia4RMIT University Melbourne Australia5RMIT University Melbourne Australia6University of California San Diego San Diego United States
Show AbstractThe fascinating physical properties of molybdenum disulphide (MoS2) that are exclusive to its two-dimensional (2D) state has led to a large number of studies exploring the tunability of its electronic and optoelectronic characteristics. A strong photoluminescence (PL) that emerges in 2D MoS2 due to an indirect to direct bandgap transition can be exploited for a range of next generation optoelectronic devices, with inability to reversibly switch PL a current limitation.
In this work, we demonstrate remarkable reversible tunability of the PL in quasi-2D MoS2 using surface acoustic waves (SAW). SAW are generated on the surface of a piezoelectric crystal and coupled to a quasi-2D MoS2 layer synthesised by liquid exfoliation and drop casting.
By interfering with the excitonic recombination lifetimes, SAW are shown to effectively modulate the PL response by changing the intensity of the SAW power. This technique does not result in any structural or compositional change and the tunability is highly reversible, which suggests the tremendous potential of integrating 2D materials on to SAW platforms for designing controllable, state-of-the-art optoelectronic systems.
Related Reference:
1. S. Walia et al., Adv. Opt. Mater. in press (2015).
9:00 AM - EE3.12
Cathodoluminescence Spectroscopy and Imaging from CVT Grown Mono- and Bi-Layers MoS2 Flakes
Filippo Fabbri 1 Enzo Rotunno 1 Luca Francaviglia 2 Eugenio Cinquanta 3 Lucia Nasi 1 Laura Lazzarini 1 Daniel Kaplan 4 Massimo Longo 3 Alessandro Molle 3 Venkataraman Swaminathan 4 Anna Fontcuberta i Morral 2 Giancarlo Salviati 1
1IMEM-CNR Parma Italy2EPFL Lausanne Switzerland3IMM-CNR Agrate Italy4U.S. Army RDECOM-ARDEC Picatinny United States
Show AbstractTwo-dimensional (2D) transition metal dichalcogenides (TMDC) have been initially studied mainly in form of exfoliated flakes1,2. Recently an increasing interest is reported for 2D layers grown by CVD-related techniques. The reason is that the mass production of 2D TMDC-based devices demands a method for the synthesis of large-scale, layer-controlled flakes.
In this work we report on the first Cathodoluminescence (CL) study of the optical emissions of mono- and bi-layer MoS2 flakes grown by Chemical Vapor Transport on large area SiO2/Si substrates. Thanks to the peculiar excitation mechanism of CL, the radiative recombinations of the SiO2 layer typically used as gate insulator in FETs are also studied. This is particularly appealing since it permits to correlate the device performance with SiO2 intra gap states. Raman spectroscopy and imaging, Scanning Transmission Electron Microscopy (STEM) and atomic Force Microscopy (AFM) investigations are also used to correlate the structural and optical properties of the CVT grown flakes.
AFM imaging reveals the presence of bi- and multi-layers of MoS2 film. The bi-layer corresponds to triangular grains with sides 1-2-micrometers long. Then triangular adlayers with sides around 100 nm are found to grow on the top of the bi-layers. A rotation of 180° appeared after each monolayer increase as expected by the plane stacking of the 2H phase of MoS2 as confirmed by STEM images. Further, an overgrowth at the larger grain edges is imaged.
Three main CL bands peaked around 1.9 eV, 2.3 eV and 2.7 eV are found at room temperature and ascribed to the excitonic direct band gap transition at K point of MoS2 single layers, to the SiODCII in SiOx and to self-trapped exciton in SiOx respectively. The number of monolayers is correlated to the integrated intensity of the 1.9 eV CL emission. Contrary to what has recently been reported in the literature3, the nanometric triangular adlayers show the highest CL emission intensity. This result is discussed in terms of excitation mechanisms. Monochromatic CL imaging also indicates a homogeneous optical emission inside mono- and bi-layer MoS2 flakes.
The Raman spectra show a typical separation of 20 cm-1 between E2g and A1g for 1-2 monolayer samples with E2g more intense than A1g, while the E2g - A1g separation for single-layers is of 18 cm-1. The coverage uniformity of the single-layer MoS2 film is found to be partially discontinuous, as shown by Raman and CL maps.
Further, in agreement with AFM studies, an overgrowth at the large grain edges is revealed by CL mapping and confirmed by the E2g/A1g Raman intensity maps.
References
1 H. Wang et al., Nano Letters 12, 4674 (2012).
2 O. Lopez-Sanchez, et al., ACS Nano 8, 3042 (2014).
3 J. Jeon et al.; Nanoscale, 2015, 7, 1688-169
9:00 AM - EE3.13
Direct Fabrication of Freestanding Two-Dimensional Membranes
Pradeep Waduge 1 Joseph Larkin 1 Robert Henley 1 Ismail Bilgin 1 Kenneth Goodfellow 2 Adam C. Graham 3 David C. Bell 3 Moneesh Upmanyu 1 Nick Vamivakas 2 Swastik Kar 1 Meni Wanunu 1
1Northeastern University Boston United States2Rochester University Rochester United States3Harvard University Boston United States
Show AbstractWe present an approach for direct growth of freestanding graphene and molybdenum disulfide (MoS2) membranes across pre-fabricated solid-state apertures. The freestanding 2D membranes are directly grown over microscale apertures in SiN membranes using chemical vapor deposition apparatus under appropriate temperatures and pressures. The 2D membranes grow preferentially over apertures, resulting in sealed membranes that are one to a few layers thick. Investigation of the growth mechanism suggests aperture-limited growth by preferential nucleation on the aperture surface. The membranes exhibit very low contamination and stability, as shown by atomic-resolution transmission
electron microscopy, elemental analysis, Raman spectroscopy, photo luminescence spectroscopy, and low-noise ion-current recordings through nanopores fabricated in such membranes. Finally, functionality of such membranes is demonstrated by measuring DNA translocations through 2D nanopores.
9:00 AM - EE3.14
Synthesis Routes towards Ultra-Thin Amorphous Boron Nitride: A Universal Dielectric for 2D Material Heterostructures
Nicholas Glavin 1 2 Christopher Muratore 3 Michael Jespersen 4 1 Albert Hilton 5 1 Jianjun Hu 4 1 Drew Hilgefort 4 1 Phillip Hagerty 4 1 Austin Blake 4 1 Timothy S Fisher 2 Andrey Voevodin 1
1Air Force Research Laboratory Dayton United States2Purdue University, Birck Nanotechnology Center West Lafayette United States3University of Dayton Dayton United States4University of Dayton Research Institute Dayton United States5Wyle Laboratories Dayton United States
Show AbstractAdvances in applications of two-dimensional (2D) materials and their heterostructures is currently limited by the absence of direct synthesis methods necessary to create reliable large area device architectures with uniform and precise thicknesses. Physical vapor deposition may offer such a possibility, as the kinetic energies of the plasma species facilitate the growth of nanocrystalline 2D semiconductor and insulators at reduced substrate temperatures and over large areas (> 2 cm2) [1,2]. Synthesis of insulating amorphous boron nitride (a-BN) by pulsed laser deposition with dielectric properties and thicknesses similar to its hexagonal 2D material counterpart is reported in this study. Using this new growth methodology, 1-10 nm thick BN films can be deposited onto diverse substrates at a controlled thickness level at temperatures down to 22 oC. The growth of the uniform dielectric material is demonstrated on conducting (W, HOPG, Cu), insulating (SiO2, Al2O3), and polymer substrates (PET and PDMS), as well as other 2D materials (MoS2 and graphene), and highlights the versatility of the deposition technique. This direct synthesis removes limitations based on required substrate temperature for 2D device architectures designs and can be performed over wafer scale areas. Applications including a-BN as a gate dielectric, substrate dielectric for back-gated devices, ultra-thin environmental protection layer to inhibit oxidation of 2D semiconductors such as MoS2 and prospects towards flexible, transparent devices are discussed. The insulating, ultra-thin amorphous boron nitride is positioned as a universal dielectric material, significantly simplifying the steps required for integration into complex 2D heterostructure systems.
[1] N. Glavin, et al., Thin Solid Films572 (2014) 245-250.
[2] C. Muratore, et al., Applied Physics Letters104 (2014).
9:00 AM - EE3.15
Nucleation and Layer Control via Catalyst Pretreatment in CVD of Hexagonal Boron Nitride on Iron Substrates
Sabina Caneva 1 Robert Weatherup 1 2 Bernhard Bayer 3 Raoul Blume 4 Andrea Cabrero-Vilatela 1 Philipp Braeuninger 1 Marie-Blandine Martin 1 Ruizhi Wang 1 Carsten Baehtz 5 Stephan Hofmann 1
1Univ of Cambridge Cambridge United Kingdom2Lawrence Berkeley National Laboratory Berkeley United States3University of Vienna Vienna Austria4Helmholtz-Zentrum Berlin Berlin Germany5Helmholtz-Zentrum Dresden-Rossendorf Dresden Germany
Show AbstractControl over layer number, nucleation density and domain size of 2D materials is key for their integration in novel opto/electronic devices. We show that rational catalyst engineering, either prior or during the CVD process, enables a good level of control over these parameters. In our previous work, examples of rational catalyst design included catalyst alloying with either nucleation promoter or inhibitor species [1, 2] and the introduction of diffusion barriers to prevent premature diffusion of active species [3]. Here we investigate the role of catalyst pretreatment before borazine exposure and its effect on the subsequent growth of h-BN domains and films. Our results show a strong dependence of the h-BN morphology on the pretreatment and we demonstrate that domain size and layer number control can be achieved reproducibly. In particular, our study demonstrates that the pretreatment strongly influences not only h-BN growth but also the underlying catalyst phase evolution and B/N uptake mechanisms.
We employ complementary in-situ X-ray diffraction (XRD) and in-situ X-ray photoelectron spectroscopy (XPS) to elucidate the structural and chemical changes taking place in the catalyst bulk and surface during all stages of the CVD process. In combination with ex-situ SEM characterisation and ternary phase diagram considerations, we derive a growth model for this catalyst system.
The structure and crystallinity of the h-BN domains and films is further characterised by dark field transmission electron microscopy (DF-TEM), high angle annular dark field scanning transmission electron microscopy (HAADF-STEM), optical microscopy and Raman spectroscopy.
The example of rational catalyst design reported here aims to show that optimization of the catalytic process depends on the understanding of the chemical and structural state of the catalyst during all CVD stages. Our study on the catalyst-precursor and catalyst-substrate interactions builds on our previous results on CVD of large, single-crystalline h-BN domains on Fe films [1] and provides deeper insights into growth optimization of 2-D layered materials.
[1] Caneva et al., Nano Lett. (2015)
[2] Weatherup et al., Nano Lett. (2011)
[3] Weatherup et al., Nano Lett. (2013)
9:00 AM - EE3.16
Formation of Highly-Ordered Molybdenum Oxide Nanosheets
Taha Rostamzadeh 1 2 Kyle Riche 1 2 John B. Wiley 1 2
1University of New Orleans New Orleans United States2UNO New Orleans United States
Show AbstractNovel synthetic approaches for the effective construction of highly-ordered nanosheets (NSs) have been developed. Rapid solvothermal treatment of molybdenum trioxide in the presence of surfactant molecules leads to the formation of highly oriented nanosheets. These NSs can be controlled so as to exist in different oxidation states as well as in different crystal structures. Variations can be obtained such that sheets can range from those of disordered MoO3 as well as MoO2 crystals. Layer spacing as a function of organic molecule lengths could also be controlled by changing the type of surfactants located between the nanosheets. Individual nanosheets, or a few layers of stacked nanosheets, up to five micrometers in lateral size could be separated upon sonication. The effect of time, temperature, as well as type and amount of structure-directing agents on the formation and crystal structure of well-ordered nanosheets were explored. These highly-ordered nanosheets are expected to show great potential for variety of applications including lithium ion batteries, catalysts and nanodevices.
9:00 AM - EE3.17
Low Temperature CVD Growth of High Quality Graphene via Heterogeneous Solid Carbon Sources
Eunho Lee 1 Kilwon Cho 1
1POSTECH Pohang Korea (the Republic of)
Show AbstractPolyaromatic hydrocarbon sources (PAH)s have been widely used as the solid carbon source for the synthesis of large-area graphene at low temperature. However, the inevitable formation of structured defect has significantly limited the quality of the synthesized graphene. In this work, we report a low-temperature chemical vapor deposition method to effectively tailor the defect formation of graphene during the growth process using mixture of solid carbon sources which exhibits different thermal decomposition behaviors. Using this mixture significantly decreased the defect density of graphene synthesized at 400 le; T le; 600 °C by the incorporation of thermal decomposed fragments into defect sites. The mobilities of graphene synthesized at low temperature using our method were enhanced by a factor of 5 compared to that of graphene synthesized with homogenesous PAH as the source. We proposed two mechanisms by which vacancies can be generated during graphene growth using PAH sources on Cu.
9:00 AM - EE3.18
Anisotropic Etching Process of Graphene and Hexagonal Boron Nitride to Fabricate Well-Defined Structures
Golap Kalita 1 Riteshkumar Vishwakarma 1 Subash Sharma 1 Sachin Shinde 1 Kamal Sharma 1 Amutha Thangaraja 1 Masaki Tanemura 1
1Nagoya Inst of Technology Nagoya Japan
Show AbstractAnisotropic etching process is an important tool for microfabrication processes, for fabrication of well-defined microscopic patterns. The fabricated microscopic structures by anisotropic etching are commonly used in nanoelectronic devices, thereby achieving desired optical, electrical, and physical properties. The development of various two dimensional (2D) materials in the last few-years has attracted significant attention for electronic device applications. In this prospect, achieving anisotropic etching of 2D materials can be a significant aspect for nanoelectronic applications. Here, we demonstrate anisotropic etching process of graphene, hexagonal boron nitride (h-BN) and boron-carbon-nitrogen (h-BCN) basal planes. Structure dependent hydrogen induced etching features of graphene crystals were also explored. Formation of micron size well-defined etched holes (triangular and hexagonal) and nanoribbons are obtained for graphene, h-BN and h-BCN layers synthesized on Cu foil. Highly anisotropic etching of graphene also creates Y-junction ribbon structures with distinct graphene edges. The anisotropic etching process of graphene, h-BN and h-BCN basal plane and thereby fabricating NRs with pronounced edges can open up new possibilities in 2D hybrid materials.
9:00 AM - EE3.19
Organic Functionalization of MoS2 Monolayer
Giacarlo Cicero 1 Maurizia Palummo 2 Jeffrey C. Grossman 3
1Politecnico Torino Turin Italy2Universitagrave; di Roma "Tor Vergata" Rome Italy3MIT Cambridge United States
Show AbstractRecently two-dimensional layered materials based on transition metal dichalcogenides (TMD) have received a large amount of attention because of their peculiar and versatile physical properties [1]. Mono and few-layered TMDs are direct-gap semiconductors whose band gap energy, as well as carrier type (n- or p-) varies depending on the composition, structure and dimensionality of the system. In particular MoS2 has been proposed as active absorbing layer in photovoltaic application due to its efficient absorption in the visible energy range [2] but also as photocatalyst for hydrogen evolution reaction [1]. The efficiency of MoS2 in this kind of applications strongly depends on the ability of this material to absorb part of the visible spectra but also on the spatial localization of the electron/hole pair upon excitation. Moreover it would be important to be able to tune the conductivity of the MoS2 monolayer (n- vs. p-) by accurately modifying its structure [3].
Here we present theoretical predictions of the electronic and optical properties of MoS2 modified with different organic molecules, namely methyl and thiophene derived compounds. In our work, the equilibrium structures of the hybrid MoS2/organic systems are obtained by means of ab initio density functional theory simulations, while absorption spectra are calculated employing the GW-Bethe Salpeter method. The proposed molecules are found to chemically bind to the T&’ phase of MoS2 monolayer and not to the H one, inverting the stability of the two unmodified phases. Our results show that the proposed molecules are able to strongly modify the electronic properties of the MoS2 monolayer and effectively tune its the absorption spectra.
[1] M. Chhowalla et al Nature Chemistry5, 263-275 (2013).
[2] M. Bernardi et al Nano Lett.13, 3664-3670 (2013).
[3] S. Mouri et al Nano Lett.13, 5944-5948 (2013)
9:00 AM - EE3.20
Time-resolved Photoluminescence of an Ensemble of Liquid Exfoliated Nanosheets of Tungsten Disulphide
Lukasz Klopotowski 1 Anatolie Mitioglu 2 4 Claudia Backes 3 Damien Hanlon 3 Jonathan Coleman 3 Paulina Plochocka 2
1Institute of Physics, Polish Academy of Science Warsaw Poland2Laboratoire National des Champs Magneacute;tiques Intenses Toulouse France3School of Physics and Centre for Research on Adaptive Nanostructures and Nanodevices, Trinity College Dublin Ireland4Institute of Applied Physics Chisinau Moldova (the Republic of)
Show AbstractWe report on time-resolved photoluminescence (PL) studies performed on nanosheets of tungsten disulphide (WS2). The samples are obtained by liquid exfoliation, a method providing flakes of transition metal dichalcogenides (TMDs) with dimensions in the range of 50-100 nm. We show that the advantage of the present method over more common mechanical exfoliation is a possibility of obtaining large concentrations of flakes, which are then used to fabricate homogenous surfaces of TMDs with areas exceeding 100 mu;m, some 50 times larger than the sizes of flakes obtained mechanically. Although the ensemble contains monolayers (MLs) and multilayers of WS2, our study shows that the valley index can be addressed with optical excitation and read out as the circular polarization degree of the ensemble PL. These results show that the excitons in WS2 are confined to single MLs even if thicker flakes are present. Our studies pave the way towards large scale valleytronic devices.
Liquid exfoliation was performed by sonication in aqueous surfactant (sodium cholate) solution. The resultant stock dispersion was size-selected by centrifugation to remove both large and thick, as well as very small nanosheets. Statistical atomic force microscopic analysis was used to assess lateral dimensions, thickness and volume fraction of monolayers. The mean thickness was determined as 2.7 MLs with mean lateral dimensions of 65 nm and a volume fraction of monolayers of 15-20%. The WS2 nanosheets were transferred to poly-vinyl alcohol by high speed centrifugation. The adsorption of the polymer on the surface widely shields the nanosheets from communicating in the dried states making them ideal for studying optical properties in ensemble films.
We study PL excited with 300 fs pulses from an optical parametric oscillator. Excitation with polarized light and detecting the PL signal in two circular polarizations allow to create and monitor the valley index of the photocreated excitons. Time-resolved PL signal is detected with a streak camera with a temporal resolution of 5 ps. We show that the time-integrated valley polarization reaches 60% at a temperature of 5 K and decays only with temperatures above 160 K. Furthermore, the polarization strongly increases, up to about 50%, with decreasing the excitation energy. This result shows that the valley relaxation depends on the initial kinetic energy of the excitons, in agreement with recent theoretical calculations. The studies in the time domain show that the PL lifetime is about 20 ps. For a quasi-resonant excitation the initial PL polarization reaches 75% and decays with a characteristic time of about 20 ps to a background value of about 20%. We attribute this polarization to an existence of a slowly decaying exciton population, possibly dwelling on valley-conserving, long-lived dark states.
9:00 AM - EE3.21
Exotic Scaling Law of Graphene-WS2 Barristors
Choi Doo Hua 1 Chung Hyun Jong 1 Lee Han Byeol 1 Lee Jun Ho 1 Kim Hyun Cheol 1 Lee Sang Wook 1 Kim Hack Sung 1
1KonKuk University Korea Korea (the Republic of)
Show AbstractAfter several years of research on barristors, scaling law of the barristors has not been fully addressed. In this study, we fabricated devices using monolayer graphene, a few-layer WS2, and h-BN as gate dielectric, where thickness of gate dielectric varies 2 nm to 300nm, and that of WS2 varies sub nm to 10 nm. The scaling effect was measured with subthreshold swing (VSS) and on-off current ratio as the both thickness decrease. We discovered that unlike conventional transistors, the thickness of the semiconductor affects both the VSS and the current ratio. We also discovered the effect of quantum capacitance of graphene on the scaling of gate voltage. In this talk, the exotic scaling law will be addressed and the comparison to the conventional device will be made.
9:00 AM - EE3.22
Challenging of Molybdenum Disulfide (MoS2) Layered Film Fabrication by Mist Process under Open-Air Atmospheric Pressure
Shota Sato 1 Toshiyuki Kawaharamura 1
1Kochi University of Technology Kochi Japan
Show AbstractRecently, 2D electrical materials, such as a graphene and layered transitional-metal dichalcogenides (TMD) have attracted attention as the active layer materials of next-generation high performance electrical devices [1]. In this study, we focused on the molybdenum disulfide (MoS2). MoS2 exhibits direct bandgap of 1.8 eV that is more desirable for device applications, compared with graphene of zero gaps [1]. In general, chemical vapor deposition (CVD) is employed for MoS2 thin film fabricating [2-4]. But CVD process needs a high temperature over 600°C and a vacuum state with a large amount of energy consumption for keeping it. Thus, in this study, we reports on the development of a novel fabrication technique in order to fabricate MoS2 thin film at lower temperature under atmospheric pressure for reducing the environmental load.
First, MoS2 thin films have been fabricated by mist annealing technique, by which the molybdenum-related materials, such as Mo and MoOx thin films, are sulfurized in the sulfidizing ambient of sulfide solution mist. In this experiment, we assumed that sulfurization was promoted at lower temperature due to a substitution of a S atom to an O atom under the special condition of solution ambient in mist annealing. There are three steps in the mist annealing process. First, precursor solution of sulfide, i.e. thiourea (CH4N2S) dissolved in H2O and/or MeOH, is prepared and the solution mist is generated by ultrasonic transducers. Then the sulfide solution mist is transferred from a supply unit into a reaction unit with a carrier gas. Finally, the sulfide solution mist is evaporated in the reactor chamber which is heated above the boiling point, then, samples are sulfurized in the reaction area filled with precursor ambient. In this experiment, all samples were evaluated by Raman spectroscopy with a 532 nm (2.33 eV) laser and peaks around 380 cm-1 and 410 cm-1 were observed. This result suggests that the samples were sulfurized by mist annealing technique because observation of Raman peaks around 383 cm-1 (E12g ) and 408 cm-1 (A1g) in bulk MoS2 are reported in the references [5].
From these results, the fabrication of MoS2 under atmospheric pressure by mist annealing was recognized by Raman peaks assigned to the vibrations of S. But a vacuum process was used for fabricating the starting thin film in this experiment. Now, we make a plan of fabrication of MoS2 layered TMD by direct growth on the substrate by mist CVD, which is one of the solution based open-air atmospheric pressure thin film fabrication process[6].
We would like to report in details and discuss them in the meeting.
Reference
[1] K. Ueno, et al., Oyobutsuri, 83 (2014) pp.274-278
[2] Y. Kurosaki, et al., Extended Abstracts of the 75thAutumn Meeting JSAP, 18a-PA3-10 (2014)
[3] Y. Tsuboi, et al., Extended Abstracts of the 75th Autumn Meeting JSAP, 18a-PA3-26 (2014)
[4] H. Li, et al., Adv. Funct. Mater, 22 (2012) 1390
[5] T. Kawaharamura Jpn. J. Appl. Phys., Vol.53 (2014)
9:00 AM - EE3.23
Study of the Interactions and Structural Properties of Graphene Layers in Radial Heterostructures
Ingrid David Barcelos 1 Luciano Moura 2 Christoph Deneke 3 Rodrigo Gribel Lacerda 1 Angelo Malachias 1
1Federal University of Minas Gerais Belo Horizonte Brazil2Federal University of Viccedil;osa Viccedil;osa Brazil3Laboratoacute;rio Nacional de Nanotecnologia Campinas Brazil
Show AbstractLarge part of the technological advances that emerged from solid state physics has its origin in the manufacture of semiconductor heterostructures. They currently make up the research object of two-thirds of all research groups working in semiconductor physics. This is due to the fact that new properties arise by changes in the electronic structure of interfaces that occur to put different materials in contact. A natural tendency is the predictable search heterostructure concepts and fabrication methods using new materials. In this work single/few layer graphene foils produced by chemical vapor deposition (CVD) are rolled with selfpositioned layers of InGaAs/Cr forming compact multi-turn tubular structures that consist on successive graphene/metal/semiconductor heterojunctions on a radial superlattice. Using elasticity theory and Raman spectroscopy, we show that it is possible to produce homogeneously curved graphene with a curvature radius on the 600minus;1200 nm range [1]. Additionally, the study of tubular structures also allows the extraction of values for the elastic constants of graphene that are in excellent agreement with elastic constants found in the literature. However, our process has the advantage of leading to a well-defined and nonlocal curvature. From the results described in this work, one can assume that curvature effects solely do not modify the Raman signature of graphene and that strain phenomena observed previously may be ascribed to possible stretching due to the formation of local atomic bonds. This implies that the interactions of graphene with additional materials on heterostructures must be investigated in detail prior to the development of applications and devices.
[1] Ingrid D. Barcelos, Luciano G. Moura, Rodrigo G. Lacerda and Angelo Malachias. Observation of strain-free rolled-up CVD graphene single layers: towards unstrained heterostructures. Nano Lett. 14, 3919 (2014).
9:00 AM - EE3.24
A Correlation of Raman and Single and Multiple Layer Graphene Conductivity as Detected with a Cryogenic Multiprobe AFM with On-Line Raman, NSOM and Other SPM Modalities
Aaron Lewis 1 2 Oleg Zinoviev 1
1Nanonics Imaging Ltd. Jerusalem Israel2Hebrew University Jerusalem Israel
Show AbstractIt is a challenge to study 2D materials, such as Graphene, MoS2, WeSe2, etc. at temperatures down to 10oK when one considers the wide variety of physical phenomena that have to be applied to get a full picture of the functionality of these materials. This involves questions of structure, nanometric photoconductivity, electrical properties, thermal properties, near-field optical in the apertured and scattering modes, Kelvin probe, and of course Raman. All of these phenomena are common not only to 2D materials but also to carbon nanotubes and related nanomaterials. This presentation will describe both the instrumental development of such a multiprobe cryogenic system that allows for state of the art on-line optical measurements and will also include a review of the probe developments that permit such multifunctional multiprobe operation with on-line full optical access. The system that will be described has a completely free optical axis from above and below that is not obscured by electrical or other probes that have been developed for this system for multiprobe operation. This permits on-line Raman and Tip Enhanced NanoRaman Scattering. With such a system we have investigated graphene and HfO2 using multiprobe electrical, Kelvin probe, NSOM and on-line Raman. The results have yielded new insights into the chemical changes that are correlated to the electrical conductivity.
9:00 AM - EE3.25
Physical and Electrical Characterization of CVD Grown Two Dimensional Materials
Rudresh Ghosh 1 Atresh Sanne 1 Harry Chou 1 Amritesh Rai 1 Sanjay Banerjee 1
1Univ of Texas-Austin Austin United States
Show AbstractOver the last decade, since the demonstration of exceptional physical, chemical and electrical properties of graphene, there has been a lot of interest in two-dimensional materials. Of these new materials significant effort has been focused on transition metal dichalcogenides (TMDs) due to their various possible applications. Initial work on TMDs, similar to that of graphene, has depended on exfoliated samples. In this work we present controlled large-area synthesis of highly crystalline few to monolayers of various TMDs (MoS2, MoSe2, WS2, WSe2) using both solid and gas precursors. Characterization of the TMDs are done using a combination of conventional techniques such as Raman and Photoluminescence spectroscopy, Atomic force microscopy, scanning and transmission electron microscopy. New characterization tools with the capability of localized dielectric mapping (Microwave impedance microscopy) and elemental identification of individual layers and their interfaces (using Time of Flight SIMS) are demonstrated as extremely useful for studying these 2d materials. Electrical device characterization including Radio frequency applications are also presented. Suitability of these materials as part of flexible electronics is shown.
9:00 AM - EE3.26
High-Throughput Screening of Substrates for Synthesis and Functionalization of Two-Dimensional Materials
Arunima K. Singh 1 Kiran Mathew 3 Richard Hennig 2 Albert V. Davydov 1 Francesca Tavazza 1
1National Institute of Standards and Technology Gaithersburg United States2University of Florida Gainesville United States3Cornell University Ithaca United States
Show AbstractThe last decade has seen an explosion in the discovery of two-dimensional (2D) materials beyond graphene. While several 2D materials have been synthesized, many theoretically predicted 2D materials are yet to be experimentally realized. Substrate assisted synthesis techniques, such as chemical-vapor deposition and molecular-beam epitaxy, promise scalable growth of electronic-grade films enabling commercialization of novel 2D devices.
Here, we will present a density-functional theory based framework to enable high-throughput screening of suitable substrates for the stabilization and functionalization of 2D layers [1,2]. Using this computational strategy, we identify several transition and rare-earth metals, metal oxides and metal diborides that enable the synthesis of 2D group-III-V materials and 2D MoS2 by sufficiently reducing their formation energies and making them thermodynamically stable on these substrates [1,2]. In addition, these substrates lead to a variable amount of charge doping of the 2D materials. The charge doping is homogeneous and depends on the differences in the work functions of the 2D materials and the substrates, allowing for the functionalization of their electronic properties.
A materials-project [3] based python tool, based on this framework, is being developed to automate the search of suitable substrates as well as to characterize their effect on the structural and electronic properties of 2D materials. Routines for automated lattice-matching, symmetry-matching, substrate surface termination, configuration sampling, substrate induced structural distortion and doping estimation have been developed and will be integrated in this tool. This tool will be employed to identify suitable substrates for scores of technologically relevant 2D materials, leading to acceleration of their synthesis and application, and more efficient use of experimental resources.
[1] Physical Review B 89 (24), 245431 (2014). [2] Applied Physics Letters 105 (5), 051604 (2014). [3] Computational Materials Science 68, 314-319 (2013)
9:00 AM - EE3.27
The Role of Cryo-Milling WS2 Powders for Efficient Lithium Intercalation and Monolayer Exfoliation
Cynthia Guerrero-Bermea 1 2 Yifan Sun 2 Yu Lei 2 Kazunori Fujisawa 2 Paloma Jimenez-Vara 1 Selene Sepulveda 1 Rodolfo Cruz-Silva 3 Ana Laura Elias 2 Mauricio Terrones 2
1Universidad Autoacute;noma de Nuevo Leoacute;n Monterrey Mexico2The Pennsylvania State University University Park United States3Shinshu University Nagano Japan
Show AbstractMonolayers of transition metal dichalcogenides (TMDs) are two-dimensional (2D) materials that are being studied intensively due to their fascinating physical and chemical properties. One of the current challenges is to find scalable synthesis methods able to produce large volumes of 2D materials so that they could eventually be used in commercial products. Chemical exfoliation has been proven to be very effective to obtain large quantities of 2D materials at low costs. One of the most studied intercalation/exfoliation reactions for semiconducting TMDs is the one carried out with lithium followed by liquid phase exfoliation through a reaction with water. Particularly, WS2 is relatively difficult to intercalate with lithium. In this work, we report the role of cryo-milling WS2 powders prior to Li intercalation/exfoliation. In particular, bulk WS2 was milled for different times using a high power cryogenic mill. These cryo-milled materials were carefully characterized by scanning electron microscopy (SEM), X-Ray Diffraction (XRD) and Raman spectroscopy. The cryo-milled powders were then intercalated with Li by immersion in n-butylithium for 48 h at room temperature. XRD analyses revealed the successful intercalation of WS2 powders. The exfoliated material was obtained under ultra-sonication to promote an efficient exfoliation/dispersion of the monolayers; a final solution purification by reverse osmosis in deionized water was then followed. The resulting particles in the supernatant were carefully characterized. Raman spectra indicated the degree of exfoliation of each material. The results showed that the lithium does not fully intercalate bulk WS2. However, the cryo-milled material exhibiting smaller lateral sizes, which result in the complete lithium intercalation and a higher yield of exfoliated layers. Experiments analyzing the influence of the lateral size of the WS2 flakes on the intercalation time will also be presented.
9:00 AM - EE3.28
Unconfined Flame Synthesis of Nanoporous Graphene
Hua Hong 1 Jerry Shan 1 Bernard Kear 1 Stephen Tse 1
1Rutgers Univ Piscataway United States
Show AbstractNanoporous Graphene (NPG) has been revealed as a promising filtration membrane for water desalination by both experimental and theoretical studies. Pristine graphene is commonly prepared through chemical vapor deposition (CVD). Nanometer-sized pores can be created by ion bombardment and oxidative etching. Our unconfined flame synthesis method has been successfully utilized for synthesis of mono-layer, bi-layer and few-layer graphene films. By tuning the flame conditions, we can grow nano-crystalline graphene with a high level of defects. Thus, flame synthesis is considered to be a controllable method of direct growth of graphene with nanometer-sized pores and defects. The degree of defects and density of pores can be further adjusted by flame-based thermal annealing. The quality of NPG is evaluated by Raman spectroscopy. The geometry of the suspended graphene membrane is observed by SEM. Experimental tests for water transport properties of NPG samples are designed and conducted.
9:00 AM - EE3.29
Resonance Raman Scattering Study in 2D and Bulk MoS2 Transition Metal Dichalcogenide
Bruno Ricardo Carvalho 1 Leandro Malard 1 Juliana Alves 1 Cristiano Fantini 1 Marcos Assuncao Pimenta 1
1Universidade Federal de Minas Gerais Belo Horizonte Brazil
Show AbstractResonance Raman spectroscopy is a very useful tool to study excitonic transitions and exciton-phonon interactions in semiconductors. In this work, we present a complete resonant Raman spectroscopy study of 2D MoS2 samples with one, two and three layers (1L, 2L, 3L), and bulk using more than 30 different laser excitation lines covering the visible range. The Raman excitation profiles of the A1g and E12g phonons were obtained experimentally, and show that the A1g phonon resonates with the A and B excitons, whereas the E12g phonon resonates with the C excitonic transition. The different couplings of the A1g and E12g Raman modes with distinct types of excitons are explained in terms of the directions of the atomic displacements and symmetries of phonons and excitonic orbitals in transition metal dichalcogenides materials [1]. Our results provide the experimental evidence for existence of higher energy C exciton, predicted by first-principle calculations of the optical spectra of MoS2 [2]. In addition, we also present the resonance Raman results for the second-order modes of the MoS2 samples focus on the range of 415-470 cm-1 [3]. Our results contribute to the interpretation of the physical mechanism for the origin of these bands.
[1] Carvalho, B. R.; Malard, L. M.; Alves, J. M.; Fantini, C.; and Pimenta, M. A.; Symmetry-Dependent Exciton-Phonon Coupling in 2D and Bulk MoS2 Observed by Resonance Raman Scattering, Phys. Rev. Lett. 114, 136403 (2015).
[2] Qiu, D. Y. ; Jornada, F. H.; and Louie, S. G.; Optical Spectrum of MoS2: Many-Body Effects and Diversity of Exciton States Phys. Rev. Lett. 111, 216805 (2013).
[3] Carvalho, B.R.; Malard, L. M.; Fantini, C.; and Pimenta, M. A.; Second-Order Raman modes in Quasi-2D and Bulk MoS2, Manuscript in preparation.
9:00 AM - EE3.30
Growth of Two-Dimensional SrMnO3
Matthew A Bloodgood 1 Tina Salguero 1
1University of Georgia Athens United States
Show AbstractNanosheets of the four-layered, hexagonal perovskite 4H-SrMnO3, an end-member in a class of magnetoresistive materials, have been synthesized via a hydrothermal method. MnO2 nanosheets were used as the precursor to act as the Mn source diverging from the Mn-salt precursors commonly seen. These hydrothermal reactions were carried out over a range of times and temperatures with relatively low concentrations of NaOH. Identification and characterization were accomplished through powder X-ray diffraction, transmission electron microscopy, and atomic force microscopy. The resulting nanosheets were found to possess lateral dimensions ranging from several hundred nanometers to a few microns. During the course of these reactions, the morphology was found to be dependent on the Mn:Sr ratio.
9:00 AM - EE3.31
Effect of CO and H2 on CVD Growth of MoS2: Thermodynamic and Kinetic Control
Sukanya Dhar 1 Kranthi kumar V 1 Tanushree H Choudhury 1 Shivashankar SA 1 Srinivasan Raghavan 1
1Indian Institute of Science Bangalore India
Show AbstractStimulated by the discovery of two-dimensional graphene monolayers, the inorganic graphene analogue MoS2 have created great interest in the recent years. For growth of MoS2 with controlled numbers of layers and desired microstructure by CVD, vapour phase supersaturation needs to be quantitatively controlled. This can be achieved by increasing total reactor pressure P and flow rates of H2 and CO by large amount. As CVD is a chemical process involving many solid and gaseous phases, such wide variation in deposition conditions may lead to contamination in the form of carbon, carbide(s), sulfur, other sulfides, metal-oxides and metal, in various amounts. Uncontrolled compositional disturbances are extremely undesirable for electronic devices as they result in uncontrolled background. Thus it becomes essential to select the optimum operating conditions for deposition of pure MoS2, and predict the possible impurities. This is achieved in the present study by thermodynamic modeling assuming that equilibrium prevails in the process, which is applicable when the rate of deposition is low. Equilibrium concentrations of various condensed and gaseous phases are thermodynamically calculated as functions of CVD parameters, using Mo(CO)6 and H2S as the precursors. Agreement and departures of actual experiments from this assumption is also addressed.
At high P, increasing H2-flow (h) and introducing CO to the system is explored for lowering supersaturation and the stability window of pure MoS2 under such conditions are investigated theoretically. Increasing amount of Mo2C is predicted to be deposited with MoS2 at higher T for higher h. When CO is introduced along with H2, reaction between H2 and CO becomes a matter of concern. Carbon is theoretically predicted to deposit with MoS2 when CO, beyond a certain percentage, is allowed to equilibrate and react in the system. This not only disturbs the purity of MoS2, but also changes the H2/CO ratio, affecting the purpose of lowering supersaturation. Carbon is observed in the Raman spectra of films grown at 850°C and 600 Torr for lower proportion of CO [Mo(CO)6:H2S:H2:CO= 1:10:1000:400-500], though the amount of C reduces with CO contradicting the theoretical predictions. For higher proportions of CO (75 to 100% of H2), Raman spectra showed no C though more carbon is predicted with increasing CO. This may be so as the system is not allowed to equilibrate at such high flow rate, and the basic assumption of the modeling does not satisfy any more. The H2/CO mixture in that case remains unchanged, allowing improved microstructure for device applications. To the best of our knowledge, such controlled growth of MoS2 at such large rates has not been reported in the literature. Similar methods can be used for the other dichalcogenides.
9:00 AM - EE3.32
Chemical Vapor Deposition of Single and Multilayer WS2
Francesco Reale 1 Pawel Palczynski 1 Cecilia Mattevi 1
1Imperial College London London United Kingdom
Show AbstractThe chemical vapor deposition (CVD) techniques hold promise for the synthesis of monolayered materials with high structural quality over large-areas for device integration. We have studied the CVD synthesis mechanism of WS2 in low vacuum demonstrating formation of continuous monolayered WS2 over hundreds of micron-sized areas. Single layered films extended over large areas were obtained by co-evaporation of sulfur and tungsten precursors and deposition in presence of a carrier gas (Ar) onto amorphous as well as crystalline substrates. To investigate the two dimensional nucleation and growth phenomena, we studied the temperature dependent (700 °C-1000 °C) density of WS2 nuclei and the area coverage. Likewise the nucleation of graphene on copper, the nucleation density of the WS2 domains decreases upon increasing the growth temperature, while their lateral size increases. A transition from Frank-van der Merwe growth regime, at temperatures between 800-900 °C, to Volmer-Weber growth regime is observed at around 750 °C. At temperatures lower than 750 °C, multilayered substechiometric WS2 nuclei are observed while at higher temperature single layered nuclei with lateral size up to 70 microns and eventually stitched together forming continuous films are observed. This result denotes similarities with the Robinson and Robins nucleation model [1], which can explain the nucleation dynamics of graphene on copper surfaces; suggesting a possible comparable mechanism of WS2 synthesis, driven by surface reactions. Photoluminescene studies reveal further insight on the growth dynamics of an individual domain. These results suggest that growth of single and multilayered WS2 films can be selectively engineered at different deposition temperatures.
[1] Robinson, V. N. E.; Robins, J. L. Nucleation Kinetics of Gold Deposited onto UHV Cleaved Surfaces of NaCl and KBr. Thin Solid Films 1974, 20, 155-175
9:00 AM - EE3.34
Identify Defect Structures in 2D Ferroelectrics via STEM
Qian He 1 Michael Adam Susner 1 Alex Belianinov 1 Andrius Dziaugys 2 Panchapakesan Ganesh 1 Yulian Vysochanskii 3 Sergei V. Kalinin 1 Doug Abernathy 1 Michael A McGuire 1 Petro Maksymovych 1 Albina Borisevich 1
1Oak Ridge National Laboratory Oak Ridge United States2Vilnius University Sauletekio Lithuania3Uzhgorod National University Uzhgorod Ukraine
Show AbstractA large number of van der Waals layered compounds exists in the family of metal chalcogenophosphates. These compounds are promising candidate for 2-D materials with tunable magnetic and dielectric properties, due to the possibility of hosting magnetic ions in a framework of P2X6 (X = S or Se), typically large band-gaps and ionic displacements within the lattice. For instance, a complex phase diagram was reported for CuCr1-xInxP2S6 system, comprising ferroelectric compounds (CuInP2S6, Tc at 315K), multiferroic compounds (antiferroelectric/antiferromagnetic), and spin/polar glass phases.[1-2]
Understanding such complex behavior necessitates detailed microstructural and chemical characterization of these compounds, which we have carried out using aberration corrected STEM. Mechanical exfoliation was used to prepare ultra-thin flakes (a few layers), of which the thickness can be measured precisely via AFM. Using quantitative HAADF-STEM imaging combined with multi-slice image simulations, we can identify different phases in the system either due to chemical separation or stacking faults. With this method, an unexpected anti-site defect (i.e. InIII(CuI)) was found in the system. Such structural disorder will affect the ionic conductivity of the system and might be correlated with the spin/dipole disorder.[3] We believe these findings will pave the way towards understanding the ferroic coupling phenomena in van der Waals layered compounds, as well as their potential applications in 2-D electronics.
[1] Dziaugys A. et al., Physical Review B, 2012.
[2] Belianinov A. et al.,Nature Communication, 2015
[3] He Q. et al., in preparation
Acknowledgement: Research is supported by the Materials Science and Engineering Division, Office of Basic Energy Sciences, U.S. DOE., and Oak Ridge Leadership Computing Facility, which is sponsored by Office of Science, , U.S. DOE. Part of this research is supported by the Laboratory Directed Research and Development program, ORNL, U.S. DOE.
9:00 AM - EE3.35
Local Pressure Effect on the Grain Size of Single-Crystalline Transition-Metal Dichalcogenide Monolayers Grown by Chemical Vapor Deposition
Bo Hsu 1 George Poulos 1 Zheng Yang 1
1Univ of Illinois-Chicago Chicago United States
Show AbstractIn the development history of any semiconductor materials and devices (such as Si, Ge, GaAs, GaN, graphene), it is indispensable to achieve the large-scale growth of the materials in single crystal form, in order to develop and manufacture functional devices. In recent years, two-dimensional (2D) transition-metal dichalcogenide MX2 (M=Mo, W; X=S, Se) materials as an emerging type of direct bandgap semiconductor have attracted great attention. Wafer-scale polycrystalline 2D MX2 have been demonstrated; however, the size of single-crystallineMX2 is still limited under a few hundreds of microns. For high-performance device applications, large-area single-crystalline MX2 nanosheets without grain boundaries are indispensable.
In our recent study, it has been found that the local pressure near the surface of the substrate plays a significant role affecting the single crystal grain size of 2D MX2 monolayers in addition to other regular growth parameters such as growth chamber pressure, temperature, and gas flow rates etc during the chemical vapor deposition growth. Different sample mounting geometries (such as substrate facing up, facing down, sandwiching) and vapor trapping techniques (such as vapor trapping tube) to introduce qualitatively various local pressures are employed in the growth to systematically study this effect. The grain size, optical, and electrical properties of 2D MX2 monolayer samples grown at different local pressure are compared. It is observed that the enhanced local pressure facilitates larger single crystal grain size and higher quality of the 2D MX2 monolayers. The size of the single-crystalline MX2 monolayers achieved by this method are comparable to the literature reported largest size.
This study paves the way for optimizing the local pressure to enhance the 2D MX2 monolayer growth, however, in the long term, a quantitative approach (precisely measurable and controllable local pressure) rather than a qualitative method (adjustable but not measurable local pressure) is necessary to be employed for further development towards wafer-scale single-crystalline 2D MX2 monolayers. For example, a molecular-beam epitaxy system with a dedicated ion gauge near the substrate location to measure the local pressure is a good fit for this idea.
9:00 AM - EE3.36
Synthesis and Processing of Twisted WSe2/WS2 Type-II Heterobilayers with Strong Interlayer Coupling
Kai Wang 1 Bing Huang 1 Mengkun Tian 2 Frank Ceballos 3 Masoud Mahjouri-Samani 1 Ming-Wei Lin 1 Abdelaziz Boulesbaa 1 Alexander Puretzky 1 Christopher Rouleau 1 Mina Yoon 1 Gerd Duscher 2 Hui Zhao 3 Kai Xiao 1 David B. Geohegan 1
1Oak Ridge National Laboratory Oak Ridge United States2University of Tennessee Knoxville United States3The University of Kansas Lawrence United States
Show AbstractAs a direct bandgap semiconductor, monolayers (MLs) of transition-metal dichalcogenides (TMDCs) exhibit exotic properties that arise from quantum confinement and crystal symmetry effect on the band structure. Stamping of 2D materials has been proposed as a method to form controllable semiconductor heterojunctions, however the sensitivity of the charge transfer at the interface might be expected to be sensitive to the relative twist angle between layers arising from different atomic hybrid orbital interactions. Here we synthesize individual monolayer 2D crystals of WS2 and WSe2 by chemical vapor deposition on sapphire and silicon/silicon oxide substrates, and investigate the charge transfer characteristics between their heterobilayers at different twist angles obtained by removing one material and stamping it on the other. First, the photoluminescence (PL) and Raman spectra were characterized for each as-synthesized monolayer on the respective substrates. Spatial nonuniformities in the PL intensity were noted for the WS2 on SiO2/Si in certain conditions, and will be discussed. Next, heterobilayers were fabricated in different orientations by stamping with polymethyl methacrylate and their charge transfer characteristics were investigated using PL and transient absorption spectroscopy. Good interfacial contact was confirmed after annealing by Raman spectroscopy and observation of the appearance of 27 cm-1 and 311 cm-1 modes. Strong quenching as well as red shifts of the individual WS2 and WSe2 PL peaks at 2.0 and 1.68 eV, respectively, were observed. In addition, a new intermediate PL band at 1.78 eV was observed. The magnitude of the three bands was approximately constant for a variety of twist angles measured between 0° and 60°. Pump-probe transient absorption spectra reveal fast charge transfer (sub-ps) at the interface for all pumping configurations studied. The results are interpreted in the context of a Type II heterojunction model and configuration-dependent DFT band structure calculations. The strong interlayer coupling observed at the van der Waals interface and its relative insensitivity to orientation appear promising for the stamping process of new heterostructured 2D bilayers with controllable properties for ultrathin electronics.
Research was supported by the U.S. Department of Energy, Office of Science, Basic Energy Sciences (BES), Materials Sciences and Engineering Division and performed in part as a user project at the Center for Nanophase Materials Sciences, which is a DOE Office of Science User Facility.
9:00 AM - EE3.37
Low Frequency Raman Mode Fingerprints of Stacking Patterns in Few-Layer Two-Dimensional Metal Chalcogenides
Alexander Puretzky 1 Liangbo Liang 2 Xufan Li 1 Kai Xiao 1 Kai Wang 1 Masoud Mahjouri-Samani 1 Leonardo A Basile 3 Bobby Sumpter 1 Vincent Meunier 2 David B. Geohegan 1
1Oak Ridge National Lab Oak Ridge United States2Rensselaer Polytechnic Institute Troy United States3Escuela Politeacute;cnica Nacional Quito Ecuador
Show AbstractStacked monolayers of two-dimensional (2D) materials present a new class of hybrid materials with tunable optoelectronic properties determined by their stacking orientation, order, and atomic registry. Here we report measurements and ab initio calculations of low frequency Raman shear and breathing modes in few layer MoSe2 and WSe2 that we synthesized by chemical vapor deposition with a variety of different layer stackings. We showed that the low frequency (LF) Raman modes (< 50 cm-1) that originate from interlayer vibrations can serve as ‘fingerprints&’ to characterize not only the number of layers, but also their stacking configurations. Moreover, we showed how the low frequency shear and breathing modes evolve in twisted two-layer (2L) MoSe2 depending on the twist angle.
Experiments are performed using a triple monochromator based micro-Raman system that is capable of addressing individual 2D crystals. In the case of two-layer TMDs Raman spectra for 2D crystals were measured on Si/SiO2 substrates as synthesized by CVD and also on 2D crystals transferred to a SiN TEM grid. In the latter case the layer stacking was determined directly using atomic resolution STEM. Measurements of 50 different 2D crystals showed only two types of low frequency Raman spectra, i.e., 1) a strong narrow peak at 19.5 cm-1 (~ 1 cm-1 FWHM) and a very weak broad peak at ~ 34 cm-1 and 2) much weaker, slightly shifted peak at 19.0 cm-1 and similar broad feature at 34 cm-1. Using ab initio calculations of the frequencies and intensities these two types of Raman spectra were attributed to the most stable stacking patterns of the MoSe2 layers: 2H (also denoted as AAprime;, Mo over Se and Se over Mo) and 3R, which is the second most stable stacking pattern (also denoted as AB, Mo over Se and the other Mo and Se over the center of the hexagons). Similarly, three distinct low frequency Raman spectra were observed in the case of 3L MoSe2 and assigned to different stacking patterns (2H-2H, 2H-3R, 3R-2H, 3R-3R) of the corresponding MoSe2 trilayers. The low frequency modes in TMDs provide a powerful tool for understanding interlayer interactions and designing heterostuctures based on stacking of different TMD layers.
Synthesis science was supported by the U.S. Department of Energy, Office of Science, Basic Energy Sciences (BES), Materials Sciences and Engineering Division. Characterization and computational science at CNMS was supported by the Scientific User Facilities Division, BES.
9:00 AM - EE3.38
Phosphorene: Synthesis, Scale-Up, and Quantitative Optical Spectroscopy
Tyler W. Farnsworth 1 Adam Woomer 1 Jun Hu 1 Rebekah Wells 1 Carrie Donley 3 Scott C. Warren 1 2
1University of North Carolina at Chapel Hill Chapel Hill United States2University of North Carolina at Chapel Hill Chapel Hil United States3University of North Carolina at Chapel Hill Chapel Hill United States
Show AbstractPhosphorene, a two-dimensional (2D) monolayer of black phosphorus, has attracted considerable theoretical interest due to its thickness-dependent band gap. Recently, researchers have sought to use photoluminescence to verify the proposed optical tunability, but the consistency among reported optical gaps is limited, at best. There remains a need to quantify the proposed thickness-dependent band gap from bulk to quantum-confined 2D phosphorus, but even the experimental realization and characterization of monolayer, bilayer, and few-layer flakes have been a significant challenge. In this study, we begin by systematically surveying conditions for liquid exfoliation to achieve the first large-scale production of monolayer, bilayer, and few-layer (2D) phosphorus, with exfoliation demonstrated at the 10-gram scale. We introduce a rapid approach for quantifying the thickness of 2D phosphorus based on transmission electron microscopy (TEM) and use a combination of TEM imaging and x-ray photoelectron spectroscopy to show that monolayer and few-layer flakes produced using our methods are crystalline and unoxidized. Our preparation of large quantities of 2D phosphorus enable us to perform quantitative measurements of the material&’s optical absorption edge—which is nearly identical to the material&’s band gap under our experimental conditions—as a function of flake thickness. In interpreting our absorbance spectra, we investigate the limitations of the Tauc method in determining a band gap and introduce a new analytical method that allows the accurate determination of the absorption edge in polydisperse samples of quantum-confined semiconductors. This method, coupled with a rigorous statistical analysis of the polydisperse thickness distributions, enables us to make an experimental assignment of the thickness-dependent band gaps of 2D phosphorus. We find that the band gap of black phosphorus increases from 0.33 ± 0.02 eV in bulk to 2.14 ± 0.05 eV in bilayers, a range that is larger than any other 2D material. In addition, we quantify a higher-energy optical transition (VB-1 to CB), which changes from 2.0 eV in bulk to 3.74 eV in bilayers. This work represents the first experimental effort to quantify the thickness-dependent band gap of 2D phosphorus and offers insight into a new class of 2D materials with unprecedented optoelectronic properties.
9:00 AM - EE3.39
Signatures of Localized Emitters in the Peripheral Edges of Monolayer MoS2 Revealed by Hyperspectral Nano-Optical Imaging
P. James Schuck 1 Nicholas Jon Borys 1 Wei Bao 1 2 Changhyun Ko 2 Sefaattin Tongay 2 Wen Fan 2 D. Frank Ogletree 1 Paul Ashby 1 Miquel B. Salmeron 1 2 Junqiao Wu 2
1Molecular Foundry, Lawrence Berkeley National Lab Berkeley United States2U. C. Berkeley Berkeley United States
Show AbstractTwo dimensional (2D) monolayer transition metal dichalcogenide (ML-TMDC) semiconductors are ideal building blocks for atomically thin, flexible optoelectronic and catalytic devices. Although challenging for 2D systems, sub-diffraction optical microscopy provides a nanoscale material understanding that is vital for optimizing their optoelectronic properties. Here, we use the “Campanile” nano-optical probe [1] to spectroscopically image exciton recombination within ML-MoS2 with sub-wavelength resolution (~50 nm) - i.e., at the length scale relevant to many critical optoelectronic processes. Synthetic ML-MoS2 is found to be composed of two distinct optoelectronic regions: an interior, locally-ordered but mesoscopically heterogeneous 2D quantum well and an unexpected ~300 nm wide, energetically disordered edge region demonstrating optical signatures of localized emitters. Further, grain boundaries are imaged with sufficient resolution to quantify local exciton quenching phenomena, and complimentary nano-Auger microscopy reveals that the optically defective grain boundary and edge regions are sulfur-deficient. The nanoscale structure-property relationships established here are critical for the interpretation of edge- and boundary-related phenomena and the development of next-generation 2D optoelectronic devices. .
[1] Bao et al., Science338, 1317 (2012).
9:00 AM - EE3.40
2D Black Phosphorus Oxidation Intermediates: Understanding and Interpreting Experimental XPS & UPS Spectra
Kaci Lee Kuntz 1 Rebekah Wells 1 Teng Yang 3 Baojuan Dong 3 Jizhang Wang 3 Zhidong Zhang 3 Jie Guan 4 3 David Tomanek 4 3 Scott Warren 1 2
1University of North Carolina at Chapel Hill Chapel Hill United States2University of North Carolina at Chapel Hill Chapel Hill United States3Chinese Academy of Sciences, Institute of Metal Research Shenyang China4Michigan State University East Lansing United States
Show AbstractBlack phosphorus is a two dimensional (2D) semiconductor that has attracted attention for its unique optoelectronic properties in monolayer and few-layer form, including a thickness-dependent, tunable band gap, optical and electrical anisotropy, high carrier mobility, and both n- and p-type dopant behavior. These properties suggest that black phosphorous has the potential to revolutionize 2D optoelectronic devices such as solar cells, transistors, and photodetectors. While 2D black phosphorous exhibits desirable characteristics and the potential to become an integral part of numerous devices, the material itself oxidizes in ambient conditions, thereby degrading its electronic properties (Nat Mater., AOP, (2015); 2D Mater.,2, 011002 (2015)). In order to understand the degradation process, we exposed thin films of 2D black phosphorus to strictly controlled environments, including high-purity water, high purity oxygen, mixtures of high-purity water and oxygen, and ambient conditions. The exposed films were characterized by x-ray photoelectron spectroscopy (XPS) and ultraviolet photoelectron spectroscopy (UPS); we found that UPS, in particular, provides an extremely sensitive indicator of early stage oxidation while XPS provides quantitative analysis of oxidation at later stages. We found that oxidation by pure O2 is slow but that the addition of water to oxygen greatly accelerates the oxidation process. This observation is consistent with a stepwise mechanism in which oxidation by O2 is largely self-passivating, but this oxide is susceptible to further oxidation and/or hydrolysis upon exposure to water. To validate this model, we calculated core electron binding energy shifts of four major classes of phosphorus oxides and hydroxides, thereby allowing us to identify the relative prevalence of each species from our XPS spectra. Consistent with our model, we find low degrees of oxidation (2+ and 3+) are most common in the O2-exposed samples while higher degrees of oxidation (3+ and 5+) are most common when both O2 and H2O are present. This is also confirmed by work function measurements, which show the greatest shifts for samples exposed to O2 and H2O. As final evidence for a multi-step degradation process, we exposed single samples to a series of three gasses: (i) O2, N2, and then H2O or (ii) H2O, N2, and then O2. In both cases, the rate of degradation is greatly accelerated over exposure to just O2 or just H2O, suggesting that even trace quantities of residual O2 or H2O may enable rapid degradation or that trace quantities of reaction byproducts such as phosphoric acid may catalyze degradation. Collectively, these experiments contribute to the growing knowledge of phosphorus degradation and passivation, potentially leading to improved control over surface chemistry and the application of black phosphorus in high performance optoelectronics.
9:00 AM - EE3.41
CVD Growth of Centimeter-Sized Continous MoS2 Films of Atomic Thickness
Jun Yuan 1
1Univ of York York United Kingdom
Show AbstractTransition metal dichalcogenides have been shown to display very interesting physical properties which can be tuned by the number of atomic layers involved. Currently, we have already gained many information about those thin films by studying film fragements obtained by mechanical cleavging or chemical or physical vapour growth. Many practical applications however require both continous films of large dimensions as well as large grain sizes. We present result of our chemical vapour growth, deonstrating growth of centimeter-sized continous films of MoS2 polycrystalline grains with typical grain sizes in the order of 400 microns across. We will present physical and chemical characterization of the resulting films using optical and electron microscopy as well as specctroscopic tools.
9:00 AM - EE3.42
Towards 2D Heterostructures with Enhanced Cohesion
Evgeniya Lock 1 Joseph Prestigiacomo 2 Anindya Nath 3 Kevin M Daniels 2 Rachael L. Myers-Ward 4 Mike Osofsky 1 D. Kurt Gaskill 4
1Naval Research Laboratory Washington United States2National Research Council Washington United States3George Mason University Fairfax United States4Naval Research Laboratory Washington United States
Show AbstractThe next frontier of two dimensional (2D) material research is the realization of designer heterostructures made out of single crystals layer by layer in a precisely chosen sequence. The research up to date is focused on production of van der Waals structures, which are characterized by strong covalent bonds in-plane of the 2D crystal, and weak van der Waals forces out of plane. In this work, we present functionalization strategies of 2D materials, which will enable good layer cohesion between the 2D layered materials and thus more stable heterostructures. Correlation between the chemical and structural modification of the 2D materials with their electro-magnetic properties will be presented as well.This work was supported by Naval Research Laboratory Base Program.
9:00 AM - EE3.43
Large-Scale Delamination of Multi-Layers MXenes
Michael Naguib 1 Raymond Robert Unocic 1 Beth Armstrong 1 Jagjit Nanda 1
1Oak Ridge National Laboratory Oak Ridge United States
Show AbstractMXenes are a new family of two-dimensional (2D) layers of transition metal carbides and carbonitrides. They are synthesized by etching atomically thin metal layers from “MAX phases”. The latter are a large family of hexagonal layered, P63/mmc, ternary transition metal (TM) carbides and/or nitrides with a composition of Mn+1AXn where M stands for an early transition metal, A are group 13 and 1elements, X is carbon or nitrogen, and n =1, 2, or 3. MXenes are both hydrophilic and good electrical conductors, a rare combination in 2D materials. Despite their short materials development history, the exploratory use of MXenes in many applications showed great promise due to their inherent physiochemical properties. During MXenes synthesis, the aluminum in the MAX phase is replaced by a mixture of functional groups (OH, O, and F; represented hereafter by Tx). The latter weakens the bonding between Mn+1Xn layers which then allows sonication to separate the layers from each other. However, the yields of delaminated flakes are too small to be used in many applications where fully delaminated layers are required, such as in polymers as reinforcements. Thus, most of the explored applications for MXenes have focused on stacked MXenes multi-layered powders, i.e. not fully delaminated ones.
Herein we report on large-scale delamination of multi-layer MXenes powders forming colloidal solutions of 2D MXenes layers in water. The treatment was carried out using organic base, such as tetrabutylammounium hydroxide (TBAOH), at room temperature resulted in significant and spontaneous swelling that in turn weakens the bonds between MXene layers. Once the TBAOH intercalates in-between the MXene flakes, slight agitation or mild sonication results in their delamination. Zeta potential measurements showed that MXenes are highly negatively charged at neutral and high pH values, thus they form stable colloidal solutions in water. V2CTx and Ti3CNTx were used as examples for MXenes (with different composition and number of atomic layers) that could be delaminated using the organic base treatment. Similar to TBAOH, other organic bases including choline hydroxide and n-butylamine resulted in successful delamination of V2CTx. Free-standing additive-free flexible paper of Ti3CNTx was produced by filtering the colloidal solution of delaminated MXene. Using this approach, the delaminated MXenes showed a significant reduction in the F-content. Delaminating MXenes with various compositions in large-scales and replacing the F-content by oxygen will open the door for many applications that have not been explored for MXenes yet.
9:00 AM - EE3.44
Tunable Growth, Transfer, and Atomic Structure Analysis of Two-Dimensional (2D) Hexagonal Boron Nitride (h-BN) Crystals
Amin Azizi 1 Mohammed Abu AlSaud 1 Fu Zhang 1 Joshua A. Robinson 1 Nasim Alem 1
1Pennsylvania State Univ University Park United States
Show AbstractTwo-dimensional (2D) hexagonal boron nitride (h-BN) crystals show remarkable properties, such as high mechanical strength, excellent chemical stability, and high thermal conductivity. They offer atomically smooth surfaces ideal as a dielectric for graphene device applications. They can be stacked with other 2D crystals, i.e. graphene and transition metal dichalcogenides (TMDs), to create novel van der Waals Heterostructures with unique properties. In this work, we show how we can tune morphology and size of 2D h-BN crystals via a low-pressure chemical vapor deposition (LPCVD) technique. We also demonstrate different methods for transferring h-BN crystals to other substrates. Atomic and chemical structure of grown h-BN crystals are studied using scanning electron microscopy (SEM), ultra-high-resolution aberration-corrected transmission electron microscopy (TEM), selected-area electron diffraction (SAED), and electron energy-loss spectroscopy (EELS).
9:00 AM - EE3.45
In-situ Observations during Chemical Vapor Deposition of Hexagonal Boron Nitride
Piran Ravichandran Kidambi 1 Stephan Hofmann 2
1MIT Cambridge United States2University of Cambridge Cambridge United Kingdom
Show AbstractUsing a combination of complementary in-situ x-ray photoelectron spectroscopy (XPS) and x-ray diffraction (XRD) we study the fundamental mechanisms underlying the chemical vapor deposition (CVD) of hexagonal boron nitride (h-BN) on polycrystalline Cu. The nucleation and growth of h-BN layers is found to be isothermally, i.e. at constant elevated temperature, on the Cu surface during exposure to borazine. A Cu lattice expansion during borazine exposure and B precipitation from Cu upon cooling highlight that B is incorporated into the Cu bulk, i.e. that growth is not just surface-mediated. Hence, we suggest that B is taken up in the Cu catalyst while N is not (by relative amounts), indicating element-specific feeding mechanisms including the bulk of the catalyst.
We further show that oxygen intercalation readily occurs under as-grown h-BN during ambient air exposure, as common in further processing, and that this negatively affects the stability of h-BN on the catalyst. For extended air exposure Cu oxidation is observed and upon re-heating in vacuum an oxygen-mediated disintegration of the h-BN film via volatile boron oxides occurs. Important thereby is that this disintegration is catalyst mediated i.e. occurs at the catalyst/h-BN interface and depends on the level of oxygen fed to this interface. In turn however, deliberate feeding of oxygen during hexagonal boron nitride deposition can positively affect control over film morphology. We discuss the implications of these observations in the context of corrosion protection and relate to challenges in process integration and hetero-structure CVD.
Kidambi et al. Chem. Mat. (2014).
Kidambi et al. Nano Letters (2013).
Kidambi et al. J. Phys.Chem. C. (2012).
Kidambi et al. PSS RRL. (2011).
9:00 AM - EE3.46
Chemical Mechanism for Solvent Assisted Exfoliation of MoS2
Ali Jawaid 1 Richard A. Vaia 1
1AFRL Wright Patterson AFB United States
Show AbstractTransition metal dichalcogenides (TMDs) are an emerging class of two-dimensional materials that are finding use in electronic devices due to their thickness dependent optical and electronic properties. In particular, molybdenum disulfide (MoS2) has garnered much attention as it undergoes a transition from an indirect to direct band-gap material at the monolayer limit, becoming an interesting material for chemical sensing applications. In order to realize these materials for further applications, methods must be developed to create high concentration monolayer dispersions with few defects. Currently, however, reactions are time consuming, require multiple steps, and are material specific which limits their potential use. Thus, it is necessary to create general methods that are scalable and reproducible for the exfoliation of MoS2 and TMDs. Solvent assisted exfoliation via sonication is considered to be the most viable approach due to the scalability of the process where N-methyl-2-pyrrolidone (NMP) is the most widely used solvent. However, the mechanism of exfoliation and the role NMP plays during this process have not been understood. Here, we report a systematic study that aims to understand the exfoliation process by probing the sonolysis chemistries associated with NMP. We confirm that the presence of dissolved moisture plays a critical role during the sonication process. As the moisture content increases the efficiency of the exfoliation process also increases; contrastingly, when exfoliation is carried out with dried solvents, reaction yields decrease. We have determined that this is due to an in-situ autoxidative pathway which converts NMP to N-methyl succinimide via hydroperoxide intermediates. Additionally, intentional addition of appropriate oxidizers can induce spontaneous exfoliation. These highly reactive species aid in exfoliation through surface oxidation and charging; charging creates a columbic repulsion between neighboring sheets and oxidation disrupts the weak van der waals between layers which results in easier exfoliation of MoS2. These findings illustrate that exfoliation of MoS2, and possibly TMD&’s in general, can be mediated through understanding the chemistry occurring at the surface-solvent interface.
9:00 AM - EE3.47
Substrate Effect on Transition Metal Dichalcogenide Photoluminescence
Daniel M Rubin 1 Fangze Liu 1 Anthony Vargas 1 Swastik Kar 1
1Northeastern Univ Boston United States
Show AbstractThe transition metal dichalcogenide(TMD) family of 2D materials have become a popular field of research due to their exceptional optical and electronic properties. There have been numerous reports of devices fabricated with these materials. While these are exciting applications of TMDs it is still unclear how the nearby materials affect the TMD&’s optical and electronic properties. In this work we will present work on the effect of the substrate in TMD&’s optical and electronic properties.
EE1: Synthesis and Characterization of 2D Materials I
Session Chairs
Monday AM, November 30, 2015
Hynes, Level 2, Room 210
9:30 AM - EE1.01
Novel Atomic Layer Etching Process for Transition Metal Dichalcogenide with Fluorine Containing Reactive Precursor
Ki-Yeon Yang 1 Juho Lee 1 Yongsung Kim 1 Yeonhee Kim 1 Namjung Kim 1 ChangSeung Lee 1
1Samsung Advanced Institute of Technology Suwon Korea (the Republic of)
Show AbstractFor the elaborate 2-D device, layer by layer control process of 2-D materials has to be developed because their electrical and optical properties are dramatically changed according to their number of layers. Especially, atomic layer etching (ALE) of TMDC, which can etch selective and confined area with atomic scale etch rate, is essential process. In this study, ALEs of TMDC using various etching source and reaction activation method were developed and investigated.
First of all, etching mechanism of TMDC with fluorine radical, which can easily form volatile compound from reaction with TMDC, has to be studied. For this, etch tendency with XeF2 gas was investigated.
Because the layer by layer control is difficult when fluorine radicals and activation energy are continuously forming during etching process, the efficient control mechanism of the amount of fluorine radical is necessary. For this, gas phase fluorine source and fluorine containing organic material could be thoroughly examined. At first, the activation energy of reaction between fluorine radical and TMDCs was controlled using e-beam irradiation at the XeF2 ambient. And we confirm that a few layer control ALE process is acceptable with selective e-beam irradiation. For the second time, CYTOPTM, which is an organic compound containing a lot of fluorine atoms, was used for fluorine precursor of ALE. The CYTOPTM was coated on the surface of TMDC and laser beam and e-beam were assisted on the surface of CYTOPTM for reaction with fluorine atom in CYTOPTM and TMDC. After exposure of laser and e-beam, CYTOPTM was removed and it could be confirmed that a few layer of TMDC were selectively etched at the laser and e-beam exposed area.
In order to confirm whether the ALE process was applicable to the 2-D based nano-electrical device, graphene/MoS2/Metal device was implemented in which the MoS2 was treated by ALE. CVD grown graphene, mechanically exfoliated MoS2 flake, and e-beam lithography technology were used for the fabrication of the 2-D electrical device. From I-V sweep at this device, a schottky diode effect, which is a unique property of graphene/MoS2/Metal device, could be confirmed. These series of the investigations implicated that ALE process doesn&’t cause a serious defect into the TMDC and the ALE process of the 2-D material was controllable for the fabrication of the nano-electronic devices.
9:45 AM - *EE1.02
Engineering of Two Dimensional Crystals
Pulickel Ajayan 1
1Rice Univ Houston United States
Show AbstractThe talk will focus on the different approaches to build heterogeneous structures using two dimensional atomic layer building blocks. Several such layers with a range of compositions and electronic structure are now available to pick and place inorder to build artificially stacked layered solids or in-plane junctions leading to interesting engineered structures that could be used in electronic devices. The possibilities of creating such structures and the challenges involved in engineering these structures with the right stacking and atomically sharp junctions will be described. Some of the possible applications of these structures will also be discussed.
10:15 AM - EE1.03
Electrical Transport and Raman Spectroscopy of Few-Layered ReS2 Field-Effect Transistors
Nihar Pradhan 1 Amber McCreary 2 Daniel Rhodes 1 Zhengguang Lu 1 Simin Feng 2 Efstratios Manousakis 1 Dmitry Smirnov 1 Raju Namburu 5 Madan Dubey 3 Angela Walker 4 Humberto Terrones 6 Mauricio Terrones 2 Luis Balicas 1
1National High Magnetic Field Lab Tallahassee United States2Pennsylvania State University College Park United States3U.S. Army Research Laboratory Adelphi United States4National Institute of Standards and Technology Gaithersburg United States5U.S. Army Research Laboratory Adelphi United States6Rensselaer Polytechnic Institute Troy United States
Show AbstractTransition metal dichalcogenides (TMDs) have been shown to exhibit excellent optoelectronics properties due to their sizable and tunable band gaps whose magnitudes are strongly dependent on the number of atomic layers. Recently, rhenium sulphide (ReS2), which in contrast to other semiconducting TMDs does not crystallize in a trigonal prismatic but in a distorted octahedral coordination, was found to be a direct band-gap semiconductor with a gap of 1.5 eV. Here, we report the temperature dependent field-effect mobility of few-layered ReS2 field-effect transistors (FETs) mechanically exfoliated onto Si/SiO2 substrates. ReS2 FETs perform as a n-type, direct band gap semiconductor with an average room temperature two-terminal mobility surpassing ~30 cm2/Vs, which increases sharply as the temperature decreases to 100 K. The highest observed field-effect mobility, measured in a four terminal configuration, approaches mu;FE ~ 250 cm2/Vs at T = 20 K. At high electron densities, the four-terminal conductivity increases by a factor of ~3 upon cooling from 300 to 20 K, and as expected for a metallic system. This contrasts with the activated behavior observed at negative back-gate voltages, indicating a gate-voltage induced crossover from a semiconducting to a metallic state. Raman scattering reveal a strong anisotropy, i.e., a strong dependence on the angle between the polarization of the incident light and the crystallographic axes. We index the peaks observed in the Raman spectra based on density functional theory calculations and the point group symmetry of ReS2 finding that all Raman modes are of Ag type. A direct band-gap in ReS2 could open interesting prospects for optoelectronic applications with improvements in carrier mobility.
10:30 AM - EE1.05
Analyzing the Planar Chemistry of Two-Dimensional Heterostructures at the Atomic Level
Harry Chou 1 Andrei Dolocan 1 Rodney S. Ruoff 2 Ariel Ismach 1 Rudresh Ghosh 1
1Univ of Texas at Austin Austin United States2Ulsan National Institute of Science and Technology Ulsan Korea (the Republic of)
Show AbstractTwo-dimensional (2D) atomic crystals and their heterostructures are an intense area of study owing to their unique properties that result from structural planar confinement. Intrinsically, the performance of a planar vertical device is linked to the quality of its 2D components and their interfaces, therefore requiring characterization tools that can reveal both its planar chemistry and morphology. We show a characterization methodology combining (micro-) Raman spectroscopy, atomic force microscopy and time-of-flight secondary ion mass spectrometry (TOF-SIMS) to provide structural information, morphology and planar chemical composition at virtually the atomic level, aimed specifically at studying 2D vertical heterostructures. As an example system, a graphene-on-h-BN heterostructure is analysed to reveal, with an unprecedented level of detail, the subtle chemistry and interactions within its layer structure that can be assigned to specific fabrication steps. In particular, surface and interface species which are detected via TOF-SIMS can be traced back to both the poly(methyl methacrylate) (PMMA)-assisted transfer process and the chemical vapor deposition (CVD) growth process. We also show results from a graphene-on-MoS2 heterostructure to demonstrate that the method is generally applicable to other 2D materials. Such detailed chemical information is of crucial importance for the complete integration of 2D heterostructures into functional devices.
10:45 AM - EE1.06
Lateral Tuning of CVD-grown MoSe2 Device Functionality with Nanometer Resolution by a Focused Helium Ion Beam
Vighter Iberi 1 2 Ming-Wei Lin 1 Xufan Li 1 Anton V. Ievlev 1 3 Stephen Jesse 1 3 Sergei V. Kalinin 1 3 Adam Rondinone 1 David C Joy 1 2 Kai Xiao 1 Olga Ovchinnikova 1 3
1Oak Ridge National Laboratory Oak Ridge United States2University of Tennessee Knoxville Knoxville United States3Oak Ridge National Laboratory Oak Ridge United States
Show AbstractLayered materials are broadly perceived as an enabling component for the ultimate scaling of electronics and information technology devices. The recent development of CVD-growth processes in the synthesis of high quality 2-dimensional materials has demonstrated the viability of large scale fabrication. The high electron mobility in monolayer molybdenum diselenide (MoSe2) makes it a highly attractive candidate in the design of functional electronic and optoelectronic devices. However, critical is the structuring and functional tuning of these materials, as currently being done for semiconductors. Here, we will discuss the use of focused helium ion beams in tailoring the functionality of MoSe2 electronic devices with nanometer precision. Using a helium ion beam under high dosing allows for milling and structuring of MoSe2 devices with nanometer precision and prevents ion implantation and resist contamination effects. For lower helium ion doses we are able to tune the mobility as ascertained by local transport measurements. The nature of the associated properties of the materials were explored using a combination of scanning probe microscopy (SPM) and optical spectroscopy techniques that provided insight into local mechanical, electromechanical and chemical properties of these devices and elucidate the effect of ion beam dose on device performance. Future perspective and scalability of this approach to device fabrication will also be discussed.
Acknowledgements
This work was conducted at the Center for Nanophase Materials Sciences, which is a Department of Energy (DOE) Office of Science User Facility
11:30 AM - *EE1.07
2D Materials: Chalcogenides, Nitrides, and Heterostructures
Joshua A. Robinson 1
1Pennsylvania State Univ University Park United States
Show AbstractBeyond graphene, there is a huge variety of layered materials that range in properties from insulating to superconducting. Furthermore, heterogeneous stacking of 2D materials also allows for additional “dimensionality” for band structure engineering. In this talk, I will discuss recent breakthroughs in two-dimensional atomic layer synthesis and properties, including novel 2D heterostructures and novel 2D nitrides. Our recent works include development of an understanding of substrate impact on 2D layer growth and properties, doping of 2D materials with magentic elements, selective area synthesis of 2D materials, and the first demonstration of 2D gallium nitride (2D-GaN). Our work and the work of our collaborators has lead to a better understanding of how substrate not only impacts 2D crystal quality, but also doping efficiency in 2D materials, and stabalization of nitrides at their quantum limit.
12:00 PM - EE1.08
Large Area Synthesis of Fully Epitaxial 2D Metal/Semiconductor v.d. Waals Heterostructures on AlN/Si Substrates by MBE: The Case of TaSe2/HfSe2 and TaSe2/MoSe2
Athanasios Dimoulas 1 Dimitra Tsoutsou 1 Polychronis Tsipas 1 Kleopatra E Aretouli 1 Evangelia Xenogiannopoulou 1 Jose Marquez Velasco 1 Nikolaos Kelaidis 1
1Institute of Nanoscience and Nanotechnology Athens Greece
Show AbstractTwo dimensional (2D) semiconductors belonging to groups VIB (Mo, W) and IVB (Zr, Hf) metal dichalcogenides and their van der Waals heterostructures (HS) are suitable for a number of low power versatile nanoelectronic applications. For any viable 2D semiconductor technology, good contacts are necessary, which are a big challenge at present. Here we propose 2D metals like TaSe2 which are integrated with 2D semiconductors such as MoSe2 [1] and HfSe2 [2,3] in a fully epitaxial growth step in vacuum maintaining the interface integrity and creating prospect for low resistivity contacts.
We first review our findings [1,3] on the MBE growth and structural and physical characterization of ultrathin MoSe2 [1] and HfSe2 [3] 2D semiconductors on 200 nm w-AlN(0001)/Si(111) substrates and their v.d.W HS [2], showing that these films can be produced homogeneous with high quality on cm-scale wafers and very good epitaxial alignment with the substrate forming atomically thin single crystals [1]. The wide gap AlN provides good barrier against leakage through the substrate, while the availability of low cost large area (300 mm) AlN/Si substrates defines a manufacturable route for 2D semiconductor technology.
Subsequently, we present our newest data about the epitaxial growth of 2D TaSe2 metallic layers grown directly on AlN substrates and on MoSe2 and HfSe2 semiconductors by MBE. Ta and Se are evaporated from e-gun and Se from effusion cell, respectively. We employ two-step growth; first TaSe2 is deposited at low temperature ~ 448 C and high Se/Ta ratio ~15:1 to ensure sufficient incorporation of Se and avoidance of Se vacancy defects, followed by an in-situ post deposition UHV annealing at higher temperature (~590 C) in order to improve crystallinity. We show by RHEED that well oriented 2D metal/semiconductor epitaxial layers are obtained indicative of v.d.W epitaxy [4]. Electronic band imaging of TaSe2 by in-situ ARPES reveals metallic bands which are in agreement with our DFT calculations assuming a stable 2H trigonal prismatic phase. However, the analysis of Ta 4f and Se 3d XPS core levels indicate that other metastable polytype phases may be present in the material albeit in reduced proportions compared to the dominant 2H phase. From the low energy electron cut-off in in-situ UPS, a work function of 5.4-5.5 eV is deduced which matches quite well the workfunction of HfSe2 (5.5 eV) [3] and is close to the value of 5.1 eV measured for MoSe2 [3], indicating that TaSe2 could form low barrier/low resistivity contacts with HfSe2 and MoSe2 2D semiconductors.
We acknowledge financial support from the ERC Advanced Grant SMARTGATE-291260. We thank IMEC for providing the MOCVD-grown AlN/Si substrates.
[1] E. Xenogiannopoulou et al, Nanoscale7, 7896 (2015)Volume:
[2] R. Yue et al., ACS Nano9, 474 (2014)
[3] K. E. Aretouli et al., Appl. Phys. Lett. 106, 143105 (2015)
[4] A. Koma et al., Microelectron. Eng.2, 129 (1984); J. Vac. Sci. Technol. B3, 724 (1985)
12:15 PM - EE1.09
Spotting 2D Atomic Layers on Alumium Nitride Thin Films
Hareesh Chandrasekar 1 Krishna Bharadwaj 1 Kranthikumar Vaidyuala 1 Swathi Suran 1 Navakanta Bhat 1 Manoj Varma 1 Srinivasan Raghavan 1
1Indian Institute of Science Bangalore India
Show AbstractSubstrates for 2D layered materials provide an important and obvious degree of freedom in tailoring both their fundamental properties and in device applications. The availability of large-area substrates also imposes a constraint on the technological and commercial realization of atomically-thin devices. Aluminum nitride films on silicon are shown to be promising candidate materials as large-area substrates for such devices in view of their high surface phonon energies and reasonably large dielectric constants, which would minimize remote interfacial phonon scattering and charge impurity scattering repectively. In this study, optical contrast of exemplar 2D layers - MoS2 and graphene - on epitaxial layers of AlN on 2" Si wafers have been investigated as a necessary first step to realize devices from exfoliated or transferred atomic layers. Significant contrast enhancements are both predicted and observed on AlN films as compared to the conventional SiO2 films on silicon, with calculated contrast values approaching 100% for graphene on AlN as compared to 8% for SiO2 at normal incidences. Even accounting for the non-normal incidenece due to the numerical aperture of the microscope objective, the maximum contrast attainable for graphene and MoS2 on AlN/Si corresponds to a 2x and 1.4x enhancement over SiO2/Si under similar imaging conditions. Unlike the case of SiO2/Si where the contrast is predominantly absorptive, we distinguish regions of both reflective and absorptive contrast for graphene and MoS2 on AlN. Quantitative estimates of experimentally measured contrast using reflectance spectroscopy show very good agreement with calculated values. Transistors of monolayer graphene on AlN films are demonstrated, using the standard device fabrication flow on SiO2/Si substrates without any modifications, thus indicating the feasibility of complete device fabrication on the identified layers.
12:30 PM - EE1.10
Wafer Scale MoS2 Atomic Layers for Transparent and Flexible Electronic Devices
Juhong Park 1 Nitin Choudhary 1 Jesse Smith 1 Wonbong Choi 1
1University of North Texas Denton United States
Show AbstractWe successfully synthesized wafer scale MoS2 nano sheets with layer thickness modulation from single to multi-layer on Si/SiO2 substrates using two-step magnetron sputtering-chemical vapor deposition (CVD) methods. Atomic force microscopy (AFM), high-resolution transmission electron microscopy (HRTEM), Photoluminescence, and Raman analysis confirmed the synthesis of layered MoS2 film. MoS2 field effect transistors (FET) characterized at room temperature exhibited a p-type conduction with high field effect mobility µ (~12.24 cm2V-1s-1) and high current on/off ratio (Ion/off) of ~106, which are better than those of previously reported data from mechanically exfoliated and CVD grown MoS2 on Si/SiO2 substrates. In addition, a novel method of optical contrast was employed to identify the number of MoS2 layers. High optical transparency of >90%, high electrical mobility within the critical thickness make the MoS2 film suitable for transparent and flexible electronic devices as compared to conventional amorphous silicon (a-Si) or polymer based electronic devices.
12:45 PM - EE1.11
Large-Area Synthesis of High-Quality and Uniform Epitaxially-Grown MoS2 Atomic Layers on Molybdenum Foils
Guoan Tai 1 3 2 Tian Zeng 1 Yuncheng You 2 Tingsong Hu 2
1Nanjing University of Aeronautics and Astronautics Nanjing China2Nanjing University of Aeronautics and Astronautics Nanjing China3Nanjing University of Aeronautics and Astronautics Nanjing China
Show AbstractMonolayer MoS2 has attracted wide interest in field effect transistors, light emitters, photodetectors, and valleytronics. However, controllable synthesis of large-area monolayer MoS2 is still a challenge for fulfilling real applications. In this report, we report the preparation of large-area monolayer MoS2 films of the order of centimeters on molybdenum foils by direct sulfurization with sulfur vapor. In contrast to conventional fabrication methods of MoS2 by exfoliation or chemical vapor deposition, the present route toward a monolayer dichalcogenide is very straightforward: only one element, S, is deposited on a molybdenum (Mo) substrate. The obtained films are uniform in thickness and are easily transferrable to arbitrary substrates, which make them favorable for optoelectronics or flexible electronics. A back-gated field effect transistor was demonstrated. This work paves the way for practical applications of 2D dichalcogenide and opens up new avenues for fundamental research.
Symposium Organizers
Wonbong Choi, University of North Texas
Albert Davydov, National Institute of Standards and Technology
Young Hee Lee, Sungkyunkwan University
Jud Ready, Georgia Tech Research Institute
Symposium Support
Graphene Square Inc.
Rocky Mountain Vacuum Tech., Inc.
EE5: Optical Properties and Devices of 2D Materials
Session Chairs
Andrey Voevodin
Jud Ready
Tuesday PM, December 01, 2015
Hynes, Level 2, Room 210
2:30 AM - *EE5.01
Bandgap Opening in Few-Layered Monoclinic MoTe2
Suyeon Cho 1 Donghoon Keum 1 Jungho Kim 1 Duk-Hyun Choe 2 Ha-June Sung 2 Min Kan 1 Haeyong Kang 1 Jae-Yeol Hwang 1 Sungwng Kim 1 Heejun Yang 1 K.J. Chang 2 Young Hee Lee 1
1IBS Center for Integrated Nanostructure Physics Suwon-si, Korea (the Republic of)2Korea Advanced Institute of Science and Technology Daejeon Korea (the Republic of)
Show AbstractTransition metal dichalcogenides (TMDs), together with metallic graphene and highly insulating hexagonal boron nitride, have recently attracted renewed interests as an important two-dimensional component of next-generation devices. In particular, polymorph engineering in group 6 TMDs, such as MX2 with M=(Mo, W) and X=(S, Se, Te), has been an intriguing theme in science for more than 50 years; most researches have been conducted with semiconducting hexagonal (2H) phase, however other polymorphs have not been explored due to their inhomogeneous formation in limited areas. Here we report a reversible structural phase transition between hexagonal and stable monoclinic (distorted octahedral or 1T&’) phase in bulk single-crystalline MoTe2, and an electronic phase transition between semi-metallic (bulk) and semiconducting (few-layered) 1T&’-MoTe2. The newly discovered 1T&’-MoTe2 exhibits a maximum carrier mobility of 4,000 cm2V-1s-1 and a giant magnetoresistance of 16,000% in a magnetic field of 14 Tesla at 1.8 Kelvin in the bulk form, and the few-layered 1T&’-MoTe2 reveals a bandgap of up to 60 meV in monoclinic TMDs. Our density functional theory calculations identify strong interband spin-orbit coupling (SOC) as the origin of bandgap opening in the few-layered monoclinic MoTe2. We further clarify that the Peierls distortion is a key mechanism to stabilize the monoclinic structure. This new class of semiconducting MoTe2 unlocks the possibility of topological quantum devices based on nontrivial Z2-band-topology quantum spin Hall insulators in monoclinic TMDs.
3:00 AM - EE5.02
Synthesis and Heterostructures of Monolayer Semiconductors
Chun-An Chen 1 Bo-Han Chen 1 Hsuan-Hao Huang 1 Xin-Quan Zhang 1 Yi-Hsien Lee 1
1National Tsing-Hua University Hsinchu Taiwan
Show AbstractMonolayers of layered transition metal dichalcogenides (TMD), such as MX2 (M=Mo, W and X=S, Se), offered a burgeoning field in fundamental physics, energy harvesting, electronics and optoelectronics. Recently, atomically thin heterostructures of semiconducting monolayers with various geometrical and energy band alignments are the key materials for next generation flexible nano-electronics. The individual TMD monolayers can be adjoined laterally or vertically to construct in-plane or vertical heterostructures, which are difficult to reach with the laborious pick-up-and-transfer method of the exfoliated flakes. The ability to produce copious amounts of high quality layered heterostructures on diverse surfaces is highly desirable but it has remained a challenging issue. Here, we have achieved a direct synthesis of various heterostructures of semiconducting monolayers using chemical vapor deposition (CVD) with the seeding promoter of aromatic molecules. The symmetry and the interface of these heterostructures were examined using some optical analysis and atomic-resolution scanning TEM techniques. The growth, characterizations and applications of monolayer semiconductors and their heterostructures would be presented.
Reference
[1] Yi-Hsien Lee, et al., Adv. Mater., 24, p.2320-2325 (2012)
[2] Yi-Hsien Lee, et al. Nano Lett., 13, 1852-1857 (2013)
[3] Xi-Ling, Yi-Hsien Lee*, et al., Nano Lett., 14, p.464-472 (2014)
[4] Lili Yu, Yi-Hsien, et al, Nano Lett, 14, p.3055-3063 (2014)
[5] Xin-Quan Zhang C. Lin, Y. Tseng, K. Huang, Yi-Hsien Lee*, Nano Lett, 15, p.410-415 (2015)
3:15 AM - EE5.03
The Role of Disorder and Electron-Electron Interactions in the Superconductor-Insulator Transition of Molybdenum Disulphide
Joseph Prestigiacomo 1 Thomas Sutto 1 Evgeniya Hristova Lock 1 Mike Osofsky 1
1US Naval Research Laboratory Washington United States
Show AbstractThe 2D layered transition-metal dichalcogenide, MoS2, now recognized as a potential semiconducting alternative to graphene in atomically-thin field-effect devices, first generated interest over 40 years ago when it was discovered that this band-insulator becomes a superconductor (SC) after electrochemical intercalation with alkali- or alkali-earth metals. However, in the intervening years this topic laid mostly dormant; that is, until 2012 when it was found that MoS2 undergoes a superconductor-insulator (S-I) transition that can be tuned via electric-field gating using ionic liquids (ILs), substances which induce much larger charge-carrier concentrations than are possible with conventional solid-state dielectric gate barriers. The capability to continuously and reversibly induce large carrier concentrations, and subsequently SC, in MoS2 without greatly affecting its structural properties is extremely useful for investigating the origin of the SC state in such a novel system. Nonetheless, detailed studies of metal-insulator transitions in MoSshy;2 using electric-field gating have mainly focused on understanding the various scattering mechanisms that reduce its mobility, leading to smaller than predicted on/off ratios in field-effect transistors. In this presentation, we will discuss the results of an investigation into the role played by disorder and electron-electron interactions in the S-I transition of mechanically-exfoliated multilayer and CVD-grown single-layer MoS2. This is accomplished by examining the low temperature magneto-transport properties of the samples while simultaneously tuning charge carrier-concentration via IL-gating.
3:45 AM - EE5.05
Electronic and Optical Properties of Single-Layer, Double-Layer, and Bulk SnSe and GeSe
Guangsha Shi 1 Emmanouil Kioupakis 1
1University of Michigan Ann Arbor United States
Show AbstractSeveral IV-VI compounds including GeSe and SnSe crystalize in layered structures with weak bonding between the layers and can be exfoliated to form 2D materials. In this work, we study the electronic and optical properties of single-layer, double-layer, and bulk SnSe and GeSe using first-principles computational methods based on density functional theory and many-body perturbation theory. The fundamental band gap is found to be direct in single-layer and double-layer GeSe but it is indirect in SnSe for any number of layers. The minimum direct band gap increases from 1.15 eV in bulk SnSe to 1.43 eV in single-layer SnSe, and from 1.31 eV in bulk GeSe to 1.57 eV in single-layer GeSe, both of which include the optimal band gap (1.34 eV) for solar energy conversion determined by the Shockley-Queisser limit. We determined the absorbance to be as high as 38% in the single-layer SnSe and 47% in the double-layer SnSe in the visible range. Similarly high absorbance is also found for the few-layer GeSe systems. Our results suggest that single-layer and double-layer SnSe and GeSe are promising materials for ultra-thin-film photovoltaic applications.
4:30 AM - EE5.06
Chemical Phase-Separation in Ferroelectric Layered Transition Metal Thiophosphates
Michael Adam Susner 1 Alex Belianinov 1 Albina Borisevich 1 Qian He 1 Panchapakesan Ganesh 1 Hakan Demir 2 David Sholl 2 Doug Abernathy 1 Michael A McGuire 1 Petro Maksymovych 1
1Oak Ridge National Laboratory Oak Ridge United States2Georgia Institute of Technology Atlanta United States
Show AbstractTransition metal thio- and selenophosphates comprise a honeycomb sub-lattice of metal ions immersed into a cage of thiophosphate (P2S6)4- or selenophosphate (P2Se6)4- ions. Owing to strong ionic bonding, the electronic band-gaps are fairly large. Furthermore, a small subset of the known ionic compositions, mostly involving Cu+1 ions, is known to have ferroelectric, antiferroelectric or mutliferroic ground states that are fairly rare for both layered and 2D materials. The details of the ferroelectric ordering mechanism are not well understood at present. Strikingly, only one particular composition, CuInP2S6, has a Curie temperature slightly above room temperature; any attempt to increase it thus far has only led to suppression of the ordering temperature.
Motivated by finite ionic conductivity in these materials, we have investigated them experimentally and theoretically from the viewpoint of solid solutions rather than as materials with rigid lattices. We found that many ionic combinations will not be favored thermodynamically. However, this turns out to be of major benefit for some of the compounds. In particular, depleting CuInP2S6 of electroactive Cu+1 ions creates a unique layered structure comprised of the CuInP2S6 and In2P3S9 phases within the same crystal, exhibiting all of signatures of coherent spinodal decomposition. When probed on the nanoscale, the materials reveal a rich and self-organized texture of ferroelectric and non-ferroelectric domains with nearly atomically-sharp boundaries. Spinodal decomposition occurs without any significant perturbation of the basic layered structure producing cleavable and air-stable surfaces and quasi-2D sheets. Perhaps most strikingly, we found that chemical phase-separation increases the Curie temperature for ferroelectric ordering by up to 40K, which we assign to the chemical pressure effect. The rich dielectric functionality offered by this underexplored class of compounds may give rise to unique layered and 2D materials, as well as new physics at van-der-Waals interfaces to known families of 2D compounds.
This research was sponsored by the Laboratory Directed Research and Development fund at the Oak Ridge National Laboratory.
4:45 AM - EE5.07
A Splitter for Valley Optoelectronics
Alberto G. Curto 1 Ahmet Fatih Cihan 1 Mark Luitzen Brongersma 1
1Stanford University Stanford United States
Show AbstractIn two-dimensional semiconductors like monolayer MoS2, an electron can have a momentum direction that is locked to its spin. In addition to charge and spin, this electronic degree of freedom known as valley could be exploited for information processing or for added functionality in optoelectronic devices. To this end, a variety of valley-specific components needs to be developed.
Here we propose one such optoelectronic device: an optical splitter that separates light emitted from different electronic valleys into opposite directions. Its operation relies on the circular polarization of light emitted or absorbed by electrons from a given valley in transition metal dichalcogenides. Specifically, light emitted from opposite band structure valleys possesses opposite handedness [1-2]. By coupling this polarized emission to the fundamental mode of a waveguide, the valley index becomes effectively entangled with the photon direction.
First, we demonstrate through simulations that such a splitter can be implemented with a variety of nanophotonic waveguides such as silver or silicon nanowires. The principle of operation relies on the phase difference between the electric field components of the fundamental mode of a waveguide [3-4]. This splitting effect is broadband and the directionality can be enhanced or decreased by longitudinal resonances along the waveguide. A requirement is that the active material be positioned only at one side of the waveguide.
We experimentally demonstrate the operation of a valley splitter in emission mode using monolayer MoS2 at low temperature. A layered semiconductor is positioned in the near field of a waveguide. When excited with a laser on resonance with one of the excitons, its photoluminescence is coupled to the waveguide and photons are launched in one direction. We observe asymmetric or symmetric launching of the emitted light depending on temperature and wavelength, following the degree of valley polarization. The asymmetry can be inverted by populating the opposite valley. Finally, we also explore the addition of electrical functionality to a valley splitter operating in absorption mode.
Our valley splitter opens up the design of devices that make specific use of this novel degree of freedom as a resource for optoelectronics.
References:
[1] K. F. Mak et al.Nature Nanotech. 7, 494 (2012).
[2] H. Zeng et al.Nature Nanotech. 7, 490 (2012).
[3] B. le Feber et al.Nat. Commun. 6, 6695 (2015).
[4] R. Mitsch et al.Nat. Commun. 5, 5713 (2014).
5:00 AM - EE5.08
Defects and Edge-Related Novel Light Emissions in Exfoliated MoS2 Flakes
Filippo Fabbri 1 Enzo Rotunno 1 Eugenio Cinquanta 2 Daniel Kaplan 3 Laura Lazzarini 1 Massimo Longo 2 Alessandro Molle 2 Venkataraman Swaminathan 3 Giancarlo Salviati 1
1IMEM-CNR Parma Italy2IMM-CNR Agrate Italy3US Army RDECOM-ARDEC Picatinny United States
Show AbstractTwo-dimensional (2D) transition metal dichalcogenides have sizable band gaps that change from indirect to direct in single-bilayers1,2, allowing innovative devices for electronic and optoelectronic applications3. This particular effect can lead to a new generation of visible light emitting devices, provided some fundamental properties, like e.g. the correlation between crystal defects and optical transitions, are clarified.
In this work we give the first experimental evidence of the correlation between ripplocations, edge surface states and cracks and novel optical emissions of mechanically exfoliated MoS2 flakes. We do it by comparing cathodoluminescence (CL) spectroscopy and imaging from flakes and pristine molybdenite and their structural properties studied by scanning transmission electron microscopy (STEM), Raman spectroscopy and mapping.
The most striking result concerns the first evidence of a new unexpected emission peaked at 0.75 eV, originating from the edges of the MoS2 flakes and from edges and cracks in pristine molybdenite. This emission is appealing for the development of MoS2 based devices for telecommunications, and opens a new scenario for this class of materials.
In particular, the analysis of room temperature CL spectra between pristine molybdenite and MoS2 flakes reveals that:
- Pristine molybednite shows a sharp peak at 1.25 eV, related to the indirect band-gap of MoS2 as expected.
- The exfoliated flakes present a broad red-shifted emission peaked at 1.08 eV and an unexpected intense emission at 0.75 eV.
- Cracked molybdenite and its edges also show a strong emission around 0.75-0.8 eV.
The red-shift of the indirect band-gap emission between molybdenite and exfoliated flakes is ascribed to radiative centers caused by the strain induced by the formation of ripplocations4 during the exfoliation process, as confirmed by STEM investigations.
Concerning the 0.75 eV emission, its nature is still unknown. However, our experimental CL results suggest that it is related to states from edges or from the cracked crystal. Furthermore, Raman mapping shows that the presence of edges and ripplocations in exfoliated flakes induces the inversion of the A1g/E2g intensity ratio thereby confirming a correlation between edges and defects and optical properties of exfoliated MoS2 flakes.
References
1 K. F. Mak, et al., Physical Review Letters 105, 136805 (2010).
2 A. Splendiani, et al., Nano Letters 10, 1271 (2010).
3 Q. H. Wang et al., Nature Nanotechnology 7, 699 (2012)
4 A. Kushima, et al., Nano Letters 15, 1302 (2015).
5:15 AM - EE5.09
Optical Spectroscopy and Imaging of the Higher-Energy Excitons and Bandgap of Monolayer MoS2
Nicholas Jon Borys 1 Wei Bao 1 Edward S Barnard 1 Changhyun Ko 1 Sefaattin Tongay 2 3 Junqiao Wu 2 Li Yang 4 P. James Schuck 1
1Lawrence Berkeley National Lab Berkeley United States2University of California Berkeley Berkeley United States3Arizona State University Tempe United States4Washington University in St. Louis St. Louis United States
Show AbstractMonolayer MoS2 (ML-MoS2) and other 2D transition metal dichalcogenide (TMD) semiconductors exhibit a rich manifold of excitonic states which dictate their optoelectronic functionality and performance. Precisely identifying the short-lived higher-energy excited states and determining how they thermalize to the longer-lived lowest energy exciton is fundamental for the development of photodetecting, photovoltaic, light emitting and sensing devices that operate at energies beyond the optical bandgap. Furthermore, precise identification of these states can be used to determine fundamental optoelectronic properties such as the exciton binding energy and quasi-particle bandgap. However, conventional photoluminescence (PL) spectroscopy only probes the lowest-energy radiative excitonic state, whereas absorption spectroscopy, which is sensitive to all optical transitions at a given energy, fails to discriminate energetically degenerate excited states, particularly in ML-MoS2. In contrast, photoluminescence excitation (PLE) spectroscopy where the excited state PL is measured as a function of optical excitation energy, addresses only the higher energy optical transitions that efficiently thermalize to the emissive ground state exciton. This increased selectivity unveils transitions and thermalization processes that are otherwise masked, providing valuable insight into the energetic landscape of the excited state manifold of ML-MoS2.
Using PLE spectroscopy of ML-MoS2 grown via chemical vapor deposition (CVD) on both silicon and sapphire substrates, we clearly identify the Rydberg series of the exciton A and exciton B states. Furthermore, higher-energy signatures of the quasi-particle bandgap and coupling of the indirect C exciton to the lowest-energy A exciton are identified, which have thus far eluded previous PLE studies of ML-MoS2 [1,2]. The assignment of these states to the spectral features is confirmed with density functional theory calculations. Spatially mapping the PLE spectrum over the extent of individual ML-MoS2 flakes enables, for the first time, the ability to optically investigate the effects of grain boundaries, edges and other spatial variations on the higher-energy exciton states and the quasi-particle bandgap. Over the extent of the flake, the observed excitation resonances exhibit striking energetic inhomogeneity that is mirrored in the PL. Although the optical absorption and radiative recombination energies can be substantially disordered, their energetic spacing is remarkably more homogeneous, demonstrating that the energetic configuration of the excited state manifold of monolayer TMD semiconductors can be quite robust to external perturbations.
[1] Kozawa D. et al., Nat. Commun. 5, 4543 (2014).
[2] Hill H. M. et al., Nano Lett.15, 2992 (2015).
5:30 AM - EE5.10
Optical Control of Mechanical Mode-Coupling within a MoS2 Resonator in the Strong-Coupling Regime
Chang-Hua Liu 1 In Soo Kim 1 Lincoln J. Lauhon 1
1Northwestern University Evanston United States
Show AbstractTwo-dimensional transition metal dichalchogenides (TMDs) provide an exciting platform for ultrasensitive force, mass and displacement measurements as well as optoelectronics applications due to their extreme thinness and extraordinary optical properties. Here, we take advantage of their unique opto-mechanical properties and further demonstrate a new type of TMDs mechanics, where the mechanical energy can be dynamically transferred between different mechanical modes with optical control. Specifically, the studied mechanical resonator is based on an ultrathin MoS2 membrane. Thermal fluctuations are exploited to study different vibrational modes of the resonator and observe avoided crossings in the vibrational spectra, indicating the coupling of mechanical motions. Furthermore, when parametrically pumping the resonator with light, the dynamic optically induced strain leads to Stokes and anti-Stokes sidebands as well as normal-mode splitting vibrational spectra. These signatures provide strong evidence that phonon populations can be redistributed between different vibrational modes, and also confirm that an MoS2 resonator can be operated into the strong coupling regime, i.e., the energy coupling rate between mechanical modes is faster than their intrinsic energy dissipation rates. Notably, this observation suggests the feasibility of coherent control of mechanical modes in TMDs resonators, which would provide novel basis for developing phononic devices or exploring mechanical motions mimic quantum phenomena.
EE6: Poster Session II: Bandgap Engineering and Optical Devices of 2D Materials
Session Chairs
Tuesday PM, December 01, 2015
Hynes, Level 1, Hall B
9:00 AM - EE6.02
Growth and Characterization of W-Doped NbS2 Atomic Layers
Shogo Sasaki 1 Yutaka Maniwa 1 Yasumitsu Miyata 1 2
1Tokyo Metropolitan University Hachioji Japan2JST-PRESTO Kawaguchi Japan
Show AbstractAtomic-layer transition metal dichalcogenides (TMDCs) are attractive two dimensional materials because of their tunable electronic properties and unique spin-valley physics. To date, very few synthesis studies have been reported for metallic TMDCs such as NbS2 nanosheets. It is, therefore, still highly desired to prepare high quality, large area metallic TMDC atomic layers for unraveling their unique electronic phases in the two-dimensional limit.
We report the growth and characterization of W-doped NbS2 atomic layers. For the crystal growth, stacked WO3 and Nb films were prepared on various substrates including sapphire and graphite by electron beam deposition. These films were sulfurized by annealing under hydrogen/sulfur/argon atmosphere. We found that the addition of W atoms effectively prompts the growth of Nb-based TMDC crystals. The thickness of such crystals ranges from 4.2 to 70 nm. High-resolution AFM and FFT images reveal the honeycomb lattice of samples with lattice constant of 3.2 Å. These crystals have two characteristic Raman peaks at 360 cm-1 and 392 cm-1, which can be assigned to WS2 E2g and NbS2 A1 modes, respectively. The resistivity of samples is around 10-5 Omega;/m, which is comparable to that of bulk NbS2 (~10-6 ohm/m). These results strongly suggest that the present approach provides atomic layer W-doped NbS2 crystals with metallic properties.
9:00 AM - EE6.03
One-Step Synthesis High Performance Nitrogen Doped Graphene within Ammonia Flame
Delong Li 1 Chunxu Pan 1
1Wuhan Univ Wuhan China
Show AbstractGraphene is a two-dimensional single-layer nanostructure of sp2-hybridized conjugated carbon atoms, and has been widely investigated in variety fields. In general, graphene needs to be modified to improve its performance in practical applications. An efficient way is to dope graphene with substituent heteroatoms such as nitrogen (N) atom for increasing electron mobility and leading to a larger capacitance, due to its atomic size and strong valence bonds. Recently, N-doped graphene has attracted wide attentions. Some methods have been reported including chemical vapor deposition (CVD), nitrogen plasma treatment, thermal conversion of nitrogen precursor, arc discharge, hydrothermal, flame, etc. In this paper, we introduce a novel ammonia flame treatment for preparing N-doped graphene under ambient condition, which is of simple, effective, faster and economical. There are two different synthetic routes were developed, including:
1) The N-doped graphene was prepared by treating graphene oxide (GO) in the ammonia flame. The GO not only could be reduced to graphene, but also be doped with nitrogen atoms simultaneously. Furthermore, due to the special atmosphere in the ammonia flame, the N-doped graphene exhibited differences from the N-doped graphene by using other processes. Experimental results revealed: 1) the N atom concentration was 3.97 at% in the N-doped graphene; 2) the specific capacitance of the N-doped graphene was 246.4 F /g at a current density of 1A/g with high cycle stability, which was about 1.84 times higher than that of regular graphene without N-doping.
2) The N-doped graphene film was prepared from ammonia flame. During the experiment, a Ni film was selected as the catalyst for growing graphene. The microstructures and nitrogen contents of the graphene were characterized and the results reveal that: 1) Compared with other methods, the graphene sheets from flame have more surface defects due to the environmental conditions and introduction of nitrogen atoms; 2) N-doped graphene sheets have a dominant “pyridine-type” structure. It also provides a new mechanism for preparation of graphene by flame.
9:00 AM - EE6.04
True Chemical Vapor Deposition Growth of Large Single Crystal P-Type MoS2
Kranthi kumar V 1 Sukanya Dhar 1 Tanushree H Choudhury 1 Shivashankar SA 1 Srinivasan Raghavan 1
1Indian Institute of Science Bangalore India
Show AbstractThe growth of large-domain single crystalline MoS2 with an ability to control the density of nuclei and the number of layers is of central importance for next generation 2-D electronic and optoelectronic devices. In this paper we report a pathway to greatly reduce the MoS2 nucleation density from 107 to 100 nuclei cm2 and we have studied the physic-chemical aspects of the nucleation and growth of MoS2 islands leading to complete layers with controllable numbers. MoS2 single crystals with dimensions upto 100 microns in size, the fastest edge growth rates, >3 microns/second and most rapid, <1 min, were grown by lowering supersaturation in the gas phase and on the growth surface. The former requires raising pressures to above atmospheric pressure (950 Torr) while the latter requires surface temperatures of 1150°C. The higher surface temperatures results in low growth surface supersaturations due to larger rates of desorptions resulting in nucleation rates being controlled by adatom availability. The higher temperature however also implies faster diffusion because of which growth rates of the few nuclei that are formed are very larger. This combination of factors results in large islands and complete layers with very large grain sizes.
We also report the activation energy for MoS2 nucleation at atmospheric pressure (0.6 eV), which is substantially lower than for the nucleation of graphene (9 eV) using CVD. In addition of suppressing nucleation density by reducing gas phase supersaturation, we introduce CO into the CVD system for further reduction of MoS2 nucleation density. Using scanning electron microscopy and Raman spectroscopy, we elucidate the increase in domain size of monolayers of MoS2 by changing the growth parameters. The electrical properties of the as-deposited layers show p-type behaviour, due to Mo deficiency, and a two-fold increase in p-type mobility due to change in grain size. Our results provide a unified framework for understanding the role of nucleation and growth of MoS2 and can be used as a guideline for controlled growth of MoS2.
9:00 AM - EE6.05
Fabrication of Tunable Volatile Organic Compounds Sensor by Using Thiol Ligand Conjugation on Molybdenum Disulfide (MoS2)
Kim Jong-Seon 1 Hae Wook Yoo 1 Hee-Tae Jung 1
1KAIST Daejeon Korea (the Republic of)
Show AbstractEarly diagnosis of lung cancer is highly important to increase the 5 years survival rate and enable effective treatment of patient. Conventionally, lung cancer has been detected from the computer tomography (CT) or biopsy, when the patient feels extraordinary symptom in their body. In this reason, breath analysis has emerging as a promising research area to diagnosis the lung cancer disease, because it is noninvasive, simple, inexpensive and easy-to-access diagnosis tool. In order to enhance the accuracy of breath analysis early diagnosis, it is essential to develop highly efficient devices, which can detect specific volatile organic compounds (VOCs) in exhaled breath from potential patients. Among the conventional diagnosis tools, chemiresistor type diagnosis tool is considered as a practically available device.
One of the important issues in chemiresistor development as breath analysis application is the constitution of gas sensor arrays that possess different sensor response to recognize different VOCs (volatile organic compoudns) patterns. Here, we developed high performance chemiresistor with tunable sensor response as well as high sensitivity for representative VOCs group by using molybdenum disulfide (MoS2) and thiolated ligand (MUA, mercaptoundecanoic acid) conjugation on its surface. The primitive and MUA-conjugated MoS2 sensing channels represented distinctly different sensor response depended on the VOCs, especially positive and negative response for oxygen functionalized VOCs from primitive and MUA-conjugated MoS2 sensors, respectively. Such characteristic sensor response demonstrates that assigning functionality on the MoS2 matrix is successfully accomplished by ligand conjugation process, and this regards as promising way to compose a versatile sensor array through the conjugation of tremendous types of thiolated ligands on MoS2 surface. Furthermore, these MoS2 sensors show outstanding sensitivity for representative VOCs upto 1 ppm concentration. This approach to fabricate the tunable and sensitive VOCs sensor might lead to a valuable and real application in the breath analysis for lung cancer diagnosis.
9:00 AM - EE6.06
Band Gap in Rough Edged Graphene Nanoribbons
Deepika Goyal 1 Rakesh Kumar 1 T.J. Dhilip Kumar 1 Alok Shukla 2
1Indian Institute of Technology Ropar Rupnagar India2Indian Institute of Technology Bombay Mumbai India
Show AbstractEdges are crucial for electronic properties of a material on confinement of charges. From theoretical calculations, it is known that Graphene is a zero band gap material, but graphene nanoribbons (GNRs) are metallic or semiconducting according to its crystallographic orientation of the edges (zigzag or armchair). In contrast to theory, GNRs fabricated using electron beam lithography followed by oxygen plasma etching process or chemical routes show a wide range of band gap values due to challenges of precisely controlling their width, roughness and crystallographic edges. In this work, we investigate the effect of rough edges on energy band gap of GNRs. Band gap is calculated for GNRs of periodic edge roughness generated by combination of nearly smooth armchair and zigzag edges1. On the basis of first principles calculations, we find a non-zero band gap values scattered about a scaling curve for rough edged GNRs in both the crystallographic orientations as observed in experiments. We conclude that the nearly smooth edged GNRs as reported in our previous work are the limiting case of the rough edged GNRs. It validates the fundamental approach followed for smooth edge GNRs, which helps in modeling fabricated GNRs. In addition, we find that an energetically favourable ground state of GNRs always corresponds to a higher band gap values for rough edged GNRs. Therefore, a precise control over the width and roughness of oxygen passivated GNRs would help in future research work on nano device applications.
1. Deepika, T.J. Dhilip Kumar, Alok Shukla, and Rakesh Kumar PRB 91, 115428 (2015)
9:00 AM - EE6.07
Density Functional Theory Study on Energy Band Gap of Armchair Silicene Nanoribbons with Periodic Nanoholes
Sadegh Mehdi Aghaei 1 Irene Calizo 1 2
1Florida International University Miami United States2Florida International University Miami United States
Show AbstractSilicene has attracted enormous attention because of its expected compatibility with current silicon nanoelectronics. Similar to graphene, silicene has zero bandgap. One approach for opening up a band gap is cutting the silicene sheet into silicene nanoribbons. In this study, density functional theory (DFT) is employed to investigate electronic properties of armchair silicene nanoribbons perforated with periodic nanoholes (ASiNRPNHs). The dangling bonds of armchair silicene nanoribbons (ASiNR) are passivated by mono- (:H) or di-hydrogen (:2H) atoms. Our results show that the ASiNRs can be categorized into three groups based on their width: W = 3n, 3n + 1, and 3n + 2, n is an integer. The band gap value order changes from “EG (3n + 2) < EG (3n) < EG (3n + 1)” to “EG (3n + 1) < EG (3n + 2) < EG (3n)” when edge hydrogenation varies from mono- to di-hydrogenated. The energy band gap values for ASiNRPNHs depend on the nanoribbons width and the repeat periodicity of the nanoholes. The band gap value of ASiNRPNHs is larger than that of pristine ASiNRs when repeat periodicity is even, while it is smaller than that of pristine ASiNRs when repeat periodicity is odd. In general, the value of energy band gap for ASiNRPNHs:2H is larger than that of ASiNRPNHs:H. So a band gap as large as 0.92 eV is achievable with ASiNRPNHs of width 12 and repeat periodicity of 2. Furthermore, creating periodic nanoholes near edge of the nanoribbons cause a larger band gap due to the strong quantum confinement effect. ASiNRPNHs have great potential for applications in electronics because of its band gap tunability which help address future requirements for nanodevices and its potential compatibility with existing silicon-based electronics.
9:00 AM - EE6.08
In-Situ Substitutional Doping of Transition Metal Dichalcogenides
Jian Gao 1 Nikhil Koratkar 1
1Rensselaer Polytechnic Inst Troy United States
Show AbstractSimilar to traditional semiconductors, stable doping strategies are necessary to tune the electronic properties of transition metal dichalcogenides (TMDs) in practical applications. In most studies, molecules and ions absorption has been used as the doping methods.However, the physisorption is suffering from stability, and chemisorption from the dependence on chalcogen vacancies. We have, for the first time, successfully in-situ doped monolayer MoS2 and WS2 in either n-type or p-type during synthesis. The photoluminescence of doped sample is as strong as undoped one, with slight down shift to lower energy, which is consistent with DFT calculation. The PL and HRTEM indicating the dopants would not degrade the crystal quality, while increasing the carrier concentrations. In addition, the contact resistance is also greatly reduced. The idea of in-situ doping of monolayer TMDs will help build p-n junctions and heterojunctions in real applications.
9:00 AM - EE6.09
Gate-Tunable Coherent Transport in Se-Capped Bi2Se3 Grown on Amorphous SiO2/Si
Cheong-Wei Chong 1 YuHung Liu 1 J. Lun Jheng 2 Shun-Yu Huang 1 J. C. Andrew Huang 1 3 4 Zhongjun Li 5 Huaili Qiu 5 S. Ming Huang 2 V.V. Marchenkov 6
1National Cheng Kung University Tainan Taiwan2Department of Physics, National Sun Yat-Sen University Kaohsiung Taiwan3Advanced Optoelectronic Technology Center (AOTC), National Cheng Kung University Tainan Taiwan4Taiwan Consortium of Emergent Crystalline Materials (TCECM), Ministry of Science and Technology Taipei Taiwan5School of Electronic Science and Applied Physics, Hefei University of Technology Hefei, Anhui China6M.N. Miheev Institute of Metal Physics Ekaterinburg Russian Federation
Show AbstractA topological insulator (TI) is an exotic material that has a bulk insulating gap and metallic surface states with unique spin-momentum locking characteristics. These unique surface states make TIs promising candidates for use in high-speed/low-power electronics devices which allow low energy consumption. Besides the superior transport properties of TIs, their spin-momentum locking mechanism enables them to support spin-polarized surface current1, 2 and potentially to be used in all-electrical controlled spintronics devices.3,4 Despite its various important applications, large scale integration of TI into MOSFET technologies and its coherent transport study are still rarely explored. Here we report the growth of high quality Bi2Se3 thin film on amorphous SiO2/Si substrate using MBE. By controlling the thickness of the film at ~7 nm and capping the as grown film in situ with a 2 nm-thick Se layer, largest electrostatic field effect is obtained and the resistance is changed by almost 300%. More importantly, pronounced gate-tunable weak antilocalization (WAL) is observed, which refers to doubling of the coherent conduction channel under an applied gate voltage. The analysis herein suggests that the significant gate-tunable WAL is attributable to the transition from weak disorder into intermediate disorder regime when the Fermi level is shifted downward by increasing the negative back gate voltage. The finding provides not only a viable route towards the development of TI-based MOSFET, but also a novel template for electric field control spintronics and magnetic devices applications. [Refs.:1L. He et al., Phys. Status Solidi RRL 7, No. 1-2, 50 (2013);2D. Kong et al., Nat. Chem. 3, 845 (2011); 3C. H. Li et al., Nat. Nanotech. 9, 218 (2014); 4J. Tang et al., Nano Lett. 14, 5423 (2014).]
9:00 AM - EE6.10
Tuning and Identification of Interband Transitions in Monolayer and Bilayer Molybdenum Disulfide Using Hydrostatic Pressure
Baoquan Sun 1
1State Key Laboratory of Superlattices and Microstructures, Institute of Semiconductors, Chinese Academy of Sciences Beijing China
Show AbstractFew-layer molybdenum disulfide (MoS2) is advantageous for application in next-generation electronic and optoelectronic devices. For monolayer MoS2, it has been established that both the conduction band minimum (CBM) and the valence band maximum (VBM) occur at the K point in the Brillouin zone. For bilayer MoS2, it is known that the VBM occurs at the Γ point. However, whether the K valley or the Λ valley forms the CBM and the energy difference between them remain disputable.Theoretical calculations have not provided a conclusive answer. Here,we demonstrate that a direct K-K to an indirect Λ-K interband transition in bilayer MoS2 can be optically detected by tuning the hydrostatic pressure. A changeover of the CBM from the K valley to the Λ valley is observed to occur under a pressure of approximately 1.5 GPa. The experimental results clearly indicate that the K valley forms the CBM under zero strain, while the Λ valley is approximately 89±9 meV higher in energy.
9:00 AM - EE6.11
Tuning Thermal Conductivity of Graphene-Based Nanocomposite by Vacancy Defects
Ying Liu 1 Chongze Hu 2 Jingsong Huang 3 Bobby Sumpter 3 Rui Qiao 1
1Virginia Tech Blacksburg United States2Clemson University Clemson United States3Oak Ridge National Laboratory Oak Ridge United States
Show AbstractNanocomposites based on graphene dispersed in matrices of soft materials are promising thermal management materials. Their effective thermal conductivity depends on both the thermal conductivity of graphene and the conductance of the thermal transport across graphene-matrix interfaces. Here we report on molecular dynamics simulations of the thermal transport across the interfaces between defected graphene and soft materials in two different modes: in the “across” mode, heat enters graphene from one side of its basal plane and leaves through the other side; in the “non-across” mode, heat enters or leaves graphene simultaneously from both sides of its basal plane. We show that, as the density of vacancy defects in graphene increases from 0 to 8%, the conductance of the interfacial thermal transport in the “across” mode, Ga, increases from 160.4±16 to 207.8±11 MW/m2K, while that in the “non-across” mode, Gna increases from 7.2±0.1 to 17.8±0.6 MW/m2K.
The variation of the interfacial thermal conductance in the two modes as defects are introduced into graphene was clarified by examining the phonon density of states. Specifically, it was found that, as vacancy defects are introduced into graphene, overall the graphene becomes structurally less rigid and thus it&’s in-plane and out-of-plane vibrational modes shift toward lower frequency. The latter helps improve the coupling between the vibrational modes of octane and graphene, which in turn reduces the need to convert energy from high-frequency in-plane vibrational modes to low-frequency out-of-plane vibrational modes. Consequently, both Ga and Gna increase with graphene&’s density of defects.
On the basis of the interfacial thermal conductance computed above and effective medium theory, we show that it is possible to enhance the effective thermal conductivity of nanocomposites by tuning the density of vacancy defects in graphene despite the fact that graphene&’s thermal conductivity always decreases as vacancy defects are introduced. This results suggest that, for applications in thermal nanocomposites, eliminating all defects in graphene, which is extremely challenging in practice, may not always be necessary.
9:00 AM - EE6.13
Metal-Graphene Edge Contact Using O2 Plasma Etching in Patterning Process
Jeongun Choe 1 2 Jaehyun Han 1 2 Jong-Souk Yeo 1 2
1Yonsei University Incheon Korea (the Republic of)2Yonsei University Incheon Korea (the Republic of)
Show AbstractGraphene has outstanding conductivity, high carrier mobility and excellent mechanical and optical properties. Due to its superior properties, graphene transistor is one of promising technologies for the next generation electronic devices. However, large contact resistance is inherently present due to the lack of chemical bonding sites between graphene and metal electrode. In order to achieve high speed graphene transistor, high-quality electrical contact is necessary. Recently, numerous approaches have been proposed to reduce contact resistance such as gentle plasma treatment, ultraviolet ozone (UVO) treatment, annealing treatment, and one-dimensional graphene edge contact. Among them, One-dimensional edge contact shows a dramatic change of contact resistance, since chemical bonding is formed at the edge of graphene by its unique contact geometry. However, fabrication of such one-dimensional edge contact requires many complex steps, which restricts its wide application to graphene and 2D materials.
In this report, we suggest a simple fabrication method of one-dimensional edge contact using O2 plasma treatment. Low pressure chemical vapor deposition (LPCVD) is employed to grow graphene on Cu foil. Then, graphene is transferred on SiO2 wafer. Photolithography patterning is conducted to form a metal contact window on the graphene/SiO2. O2 plasma is applied to etch out the exposed graphene and then Ti/Au is deposited. As a result, the one-dimensional edge contact geometry is built between metal and graphene encapsulated by photoresist. The fabricated metal-graphene contact is compared with vertically stacked conventional metal-graphene contact. Quality of the synthesized graphene is examined by Raman spectroscopy and scanning electron microscopy (SEM). High resolution transmission electron microscopy (HRTEM) is employed to confirm the meatal-graphene one dimensional edge contact.
This research was supported by the MSIP (Ministry of Science, ICT and Future Planning), Korea, under the “IT Consilience Creative Program” (IITP-2015-R0346-15-1008) supervised by the IITP (Institute for Information & Communications Technology Promotion).
9:00 AM - EE6.14
Selective N-Type Doped Graphene Field Effect Transistor via Thermal Decoration of Metal Nanoparticles
Xiaoling Shi 1 Tingying Zeng 2 Sun Nian 1 Johnny Chung Yin Ho 3
1Winchester Technologies, LLC Woburn United States2Massachusetts Institute of Technology Cambridge United States3City University of Hong Kong Kowloon Hong Kong
Show AbstractSelective and reliable n-type doping as well as tuning the Dirac point of graphene are important for the realization of high-performance complementary circuits. In this work, n-type doping of graphene is developed by utilizing aluminum nanoparticles decoration. The morphological structures of Al on graphene are imaged by Atomic Force microscopy. The n-type doping of graphene covered with Al nanoparticles was confirmed by electron transport measurement, which indicates a size-dependent electrical characteristic: isolated Al nanoparticles produce n-type doping of graphene. The results show that Al causes the Dirac point of graphene shifts to negative gate voltages and the on/off ratio improved to 6 times. Apart from Al, we also investigate the deposition effect of other metals, such as Ni and Sn on graphene by FET measurement. The results shows that Ni and Sn also cause a shift in the Fermi level in the graphene from the Dirac point into the conduction band, but the effect is not obvious as the Al. The different shifts in the Dirac point of graphene are explained by the different work functions of these metals.
9:00 AM - EE6.15
Impact of Pre-Metallization Surface Preparation and Metallurgical Reactions on Metal/WSe2 Contacts
Michael Abraham 2 1 Anna Domask 2 1 Haila Aldosari 2 1 Timothy Walter 2 1 Frances Kwok 2 1 Suzanne Mohney 2 1
1Pennsylvania State University University Park United States2Pennsylvania State University State College United States
Show AbstractOhmic contacts with low resistance and well-controlled morphologies are required for field effect transistors (FETs) fabricated from transition metal dichalcogenides (TMDs). The metal/TMD contact can affect the field-effect mobility, ON/OFF switching, and current saturation of the FETs. Our work reveals the role of pre-metallization surface preparation and post-metallization annealing on the resistance of contacts to WSe2 through the use of back-gated transmission line method (TLM) test structures. In this work, single and bilayer metal contacts are tested after performing various pre-metallization surface treatments. The transfer characteristics of pairs of contacts in the back-gated test structures show how transport through the contacts is strongly affected by annealing. In the case of bilayer contacts, such as Ti/Al, we observe that annealing can even lead to a transition from a contact that injects holes to a contact that injects electrons, likely due to interfacial reactions that occur during annealing. Materials characterization is underway and will be correlated with electrical transport data. Furthermore, the effect of pre-metallization surface preparation on the contact resistance will be presented.
9:00 AM - EE6.16
N- and P-Doping of Transition Metal Dichalcogenide (TMD) Using Artificially Designed DNA with Lanthanide and Metal Ions
Dong-Ho Kang 1 Sreekantha Reddy Dugasani 1 Hyung-Youl Park 1 Jeaho Jeon 1 Sungjoo Lee 1 Yonghan Roh 1 Sung Ha Park 1 Jin-Hong Park 1
1Sungkyunkwan University Suwon Korea (the Republic of)
Show AbstractTransition metal dichalcogenides (TMDs) with a two-dimensional layered structure have been considered highly promising materials for next-generation flexible, wearable, stretchable and transparent devices due to their unique physical, electrical and optical properties. Recent studies on TMD devices have focused on developing a suitable doping technique because precise control of the threshold voltage and the number of tightly-bound trions are required to achieve high performance electronic and optoelectronic devices, respectively. In particular, it is critical to develop an ultra-low level doping technique for the proper design and optimization of TMD-based devices because high level doping (about 1012 cm-2) causes TMD to act as a near-metallic layer. However, it is difficult to apply an ion implantation technique to TMD materials due to crystal damage that occurs during the implantation process. Although safe doping techniques have recently been developed, most of the previous TMD doping techniques presented very high doping levels of ~1012 cm-3. Recently, low-level n- and p-doping of TMD materials was achieved using cesium carbonate (Cs2CO3), octadecyltrichlorosilane (OTS), and M-DNA, but further studies are needed to reduce the doping level down to an intrinsic level.
Here, we propose a novel DNA-based doping method on MoS2 and WSe2 films, which enables ultra-low n- and p-doping control and allows for proper adjustments in device performance. This is achieved by selecting and/or combining different types of divalent metal and trivalent lanthanide (Ln) ions on DNA nanostructures. The available n-doping range on the MoS2 by Ln-DNA (DNA functionalized by trivalent Ln ions) is between 6×109 and 2.6×1010 cm-2, which is even lower than that provided by pristine DNA. The p-doping change on WSe2 by Ln-DNA is adjusted between -1.0×1010 and -2.4×1010 cm-2. In the case of Co-DNA (DNA functionalized by both divalent metal and trivalent Ln ions) doping where Eu3+ or Gd3+ ions were incorporated, a light p-doping phenomenon is observed on MoS2 and WSe2 (respectively, negative #8710;n below -9×109 cm-2 and positive #8710;p above 1.4×1010 cm-2) because the added Cu2+ ions probably reduce the strength of negative charges in Ln-DNA. However, a light n-doping phenomenon (positive #8710;n above 1010 cm-2 and negative #8710;p below -1.1×1010 cm-2) occurs in the TMD devices doped by Co-DNA with Tb3+ or Er3+ ions. A significant increase in field-effect mobility is also observed on the MoS2 and WSe2 devices, which are, respectively, doped by Tb3+-based Co-DNA and Gd3+-based Co-DNA, due to the reduction of effective electron and hole barrier heights after the doping. In terms of optoelectronic device performance (photoresponsivity and detectivity), the Tb3+ or Er3+-Co-DNA (n-doping) and the Eu3+ or Gd3+-Co-DNA (p-doping) improve the MoS2 and WSe2 photodetectors, respectively.
9:00 AM - EE6.18
Toward Edge-Defined Holey Boron Nitride Nanosheets
Yi Lin 1 Yunlong Liao 2 Zhongfang Chen 2 John Connell 3
1National Inst of Aerospace Hampton United States2University of Puerto Rico San Juan United States3NASA Langley Research Center Hampton United States
Show Abstract“Holey” two-dimensional (2D) nanosheets with well-defined hole morphology and edge chemistry are highly desirable for applications such as energy storage, catalysis, sensing, transistors, and molecular transport/separation. For example, holey graphene is currently under extensive investigation for energy storage applications because of the improvement in ion transport due to through the thickness pathways provided by the holes. Without the holes, the 2D materials have significant limitations for such applications in which efficient ion transport is important. As part of an effort to apply this approach to other 2D nanomaterials, a method to etch geometrically defined pits or holes on the basal plane surface of hexagonal boron nitride (h-BN) nanosheets has been developed. The etching, conducted via a thermal process using metal nanoparticles as catalysts, was facile, controllable, and scalable. Starting h-BN layered crystals were etched and subsequently exfoliated into nanosheets using a well-established solvent exfoliation approach. The h-BN nanosheets possessed defined hole shapes that were comprised of regulated nanostructures at the hole edges. Based on the chemistry of the h-BN edges, a rationale to explain these nanostructures was proposed. The details of the research findings and potential applications of these holey BN nanosheet materials, and holey 2D nanomaterials in general, will be discussed
9:00 AM - EE6.19
Optical Phonon Limited High Field Transport in Layered Materials
Hareesh Chandrasekar 1 Ganapathi Kolla Lakshmi 1 Shubhadeep Bhattacharjee 1 Navakanta Bhat 1 Digbijoy Nath 1
1Indian Inst of Science Bangalore India
Show AbstractTransistors of layered materials show much promise for flexible electronics and as potential alternatives to silicon due to the possibility of ultimate electrostatic control over the channel, thus enabling continued scaling of device dimensions. In highly scaled devices it is the saturation velocity, more than channel mobility, which determines transistor performance. Interaction with optical phonons is the dominant scattering mechanism limiting carrier transport in the non-ballistic regime for many layered semiconductors at room temperatures.
Here we investigate the high-field transport in transistors of a selection of multi-layered van der Waal&’s materials - MoS2, WS2, MoSe2, WSe2, black phosphorous and hexagonal boron nitride - by employing an optical phonon limited model. Drain currents, effective electron velocities and intrinsic cut-off frequencies as a function of carrier densities have been predicted thus providing a benchmark for the optical phonon limited high-field performance limits of these channel materials. While transition metal dichalcogenides (TMDs) and black phosphorous n-channel transistors are found to exhibit comparable or lower performance metrics compared to their silicon counterparts, hexagonal boron nitride is shown to be a very promising channel material for high-frequency and high-current devices primarily due to its high optical phonon energy.
In order to experimentally validate some of the predictions of the optical phonon limited scattering model of carrier transport, multi-layered MoS2 transistors have been fabricated and characterized. The experimentally extracted saturation velocities were found to be within the optical phonon limited values and follow the same trends with carrier densities as theoretical predictions. The temperature dependence of the saturation velocity was also analysed and found to fit a simple hydrodynamic model with a single material dependent fit parameter.
9:00 AM - EE6.20
Impact of Electrochemical Tuning on Through-Plane and In-Plane Thermal Conductivities in MoS2 Thin Film and Bulk Crystal
Gaohua Zhu 1 Jun Liu 2 Ruigang Zhang 1 Qiye Zheng 2 Debasish Banerjee 1 David Cahill 1
1Toyota Research Inst of North America Ann Arbor United States2University of Illinois at Urbana-Champaign Urbana United States
Show AbstractTwo dimensional (2D) layer structured materials consist of molecular layers with strong intralayer covalent bonding stacked together with weak van der Waals bonds. Recently, transition metal dichalcogenides (TMD), such as MoS2, has attracted extensive research interests due to their unique electronic and chemical properties, and a remarkably diverse range of potential applications. One interesting property of 2D materials is their capability to intercalate guest ions into the van der Waals gaps, and it offers an opportunity to tune their thermal conductivity by electrochemical intercalation.
In this work, we investigate the impact of Li ion intercalation on thermal conductivity of MoS2 thin film and bulk crystal. The amount of the intercalated Li+ is controlled by scanning the Li intercalation potential from high to low. The through-plane and in-plane thermal conductivity are characterized using time-domain thermoreflectance (TDTR) method. We show that not only the thermal conductivity of MoS2 can be tuned in an unprecedented wide range, but also the resulting thermal conductivity change as a result of Li ion intercalation is profoundly different for the thin film and bulk crystal MoS2 samples.
9:00 AM - EE6.21
Engineering Plasmons in Two Dimensional Heterostructures
Sharmila Shirodkar 1 Marios Mattheakis 1 2 Efthimios Kaxiras 1
1Harvard University Cambridge United States2University of Crete Heraklion Greece
Show AbstractPlasmonic excitations in two dimensional materials (2D plasmons) exhibit very strong subwavelength confinement, and hence find exciting applications in sub diffraction limit imaging, sensors and photodetectors. Though 2D plasmons have been observed in the infrared range, there are no reports of their existence in the optical frequencies. In this work we try to engineer the composition of 2D heterostructures to exhibit 2D plasmons in the optical frequencies.
We have carried out first-principles Density Functional Theoretical (DFT) calculations to investigate the optical response (i.e. macroscopic dielectric function) of a wide range of 2D heterostructures, with varying concentration of charge doping by external dopants. We have further used the macroscopic dielectric constant from DFT calculations to study the dispersion relation and propagation of 2D plasmons from Maxwell&’s equations. This multiscale modeling which involves the combination of quantum mechanical techniques and classical simulations, provides a simple yet robust way to engineer plasmons in 2D materials.
9:00 AM - EE6.22
Influence of Charge Doping on the Inherent Defects in CVD Grown Monolayer WS2
Adriana M. Rivera 1 Anand Prakash Singh Gaur 1 Satyaprakash Sahoo 1 Ram S. Katiyar 1
1Univ. of Puerto Rico, Riacute;o Piedas San Juan United States
Show AbstractAtomically thin layers of transition metal dichalcogenides, such as MoS2 and WS2 are considered to be the graphene analogous for many physical properties but have added advantage for having a non-zero band gap at room temperature.The interactions between defects and charge carriers have shown to strongly influence the optical and electronic properties of these atomically thin materials due to enhanced many body interaction. Therefore, opens a possibility for tuning their electronic and optical properties with charge doping. In what follows, we present studies on the effect of surface transfer doping, using TNCQ and TTF as p and n type dopants respectively, on inherent defects in CVD grown WS2 monolayer flakes (1L-WS2) by investigating temperature dependent optical and vibrational properties. The low temperature photoluminescence spectra of 1L-WS2 exhibits a defect related peak at ~0.04 eV below the neutral free exciton band. This feature, as well as an increase in the trionic spectral density is observed at temperatures below 200K, where the atmospheric species desorbed and surface states and donor type defects are activated. We have observed that the p-type doping of TCNQ enhances the trionic spectral density in 1L-WS2 as well as the defect related PL band. On the other hand, doping TTF neutralizes defects and the defect related band is completely obscured after treatment. We also present a comparative Raman spectroscopy study of chemical doping and electrostatic doping, by applying gate voltage, in p-type 1L-W2 flakes, where the A1g mode is affected by the changes in charge carrier type and concentration. Our findings show that doping in 1L-WS2 provides a way to tailor electronic and optical properties of the material through tuning of the carrier concentration.
9:00 AM - EE6.24
Determination of Formation and Ionization Energies of Charged Defects in Two-Dimensional Materials
Dan Wang 1 Xian-Bin Li 1 Damien West 2 Hong-Bo Sun 1 Shengbai Zhang 2
1State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University Changchun China2Department of Physics, Applied Physics, and Astronomy, Rensselaer Polytechnic Institute Troy United States
Show AbstractThe compelling depends for performance optimization in electronic devices has attracted considerable attention to search for potential candidates for silicon-based materials. It is hoped that the devices made from graphene and related two-dimensional (2D) materials will re-energise the electronics industry. Urgently, understanding the defect properties of these important materials is crucial for the eventual industrial applications. However, it is a longtime debating question that how to accurately determine the defect, in particular the ionization energy (IE) in 2D systems using the jellium approach under the periodic boundary condition. In our work, with first-principles calculations and classical electrostatics, we explore the origin of difficulty of evaluating the defects in 2D systems, and then propose a simple and model-free approach to accurately and efficiently evaluate the formation energy and IE of charged defects in 2D systems. The results using supercell approximation for such systems can scatter widely due to the divergence of the Coulomb energy with vacuum dimension, denoted as Lz. Numerous attempts have been made in the past to fix the problem under various approximations. We show that the problem can be resolved without any such assumption, and a converged IE can be obtained at the zero jellium density, i.e., the lateral size S→infin; limit, which can be acquired by extrapolating the asymptotic IE expression at large Lz and finite S back to the value at Lz = 0 [asymptotic expression: IE(S,Lz)=IE0+α/sqrt(S)+(β/S)Lz ,IE0: converged IE].This method not only applies to all 2D materials such as h-BN, MoS2, and phosphorene but also quasi-2D systems such as semiconductor surfaces and semiconductor-liquid interfaces.
References
[1] D Wang, et al.Phys. Rev. Lett.114, 196801 (2015).
9:00 AM - EE6.25
Orbital and Valley Contribution to the Energy Spectra of Transition Metal Dichalcogenides in High Magnetic Fields
Paulina Plochocka 1 Anatolie Mitioglu 1 4 Andres Granados del Aguila 2 Peter Christianen 2 George Deligeorgis 3 Sergiu Anghel 4 Leonid Kulyuk 4 Duncan Maude 1
1Laboratoire National des Champs Magnetiques Intenses, CNRS Toulouse France2Institute for Molecules and Materials, Radboud University Nijmegen Netherlands3FORTH-IESL, Microelectronics Research Group Heraklion, Crete Greece4Institute of Applied Physics Chisinau Moldova (the Republic of)
Show AbstractReducing dimensionality of the dichalcogens from 3D to 2D leads to new interesting optical properties e.g. opening a direct gap in the visible range. Moreover, the physics of 2D semiconductors is known to be extremely rich once additional carriers are introduced into the system. In consequence, optical spectra show the existence of not only neutral excitons but also of charged ones. Hence, the ability to control the exciton charge state in semiconductor structures, which emit light at room temperature and in the visible range, is expected to open many possibilities for optoelectronics applications.
We show that in monolayer WS2 and WSe2 the ratio of the exciton/trion emission can be tuned by optical means. Using two color excitation, the intensity of the below gap illumination independently tunes the amplitude of the trion emission via the dynamic photoionization of shallow donors. This is direct proof that we can control the density of 2D carriers in a single layer of WS2 and WSe2 using optical methods [1]. Polarization resolved optical spectroscopy in high magnetic fields B < 65 T reveals the very different nature of carriers in monolayer and bulk transition metal dichalcogenides. In monolayer WSe2, the exciton emission shifts linearly with the magnetic field and exhibits a pronounced valley splitting. The data can be described using a single particle picture with a Dirac-like Hamiltonian for massive Dirac fermions, with an additional term ±gvmu;shy;shy;shy;BB to include the valley splitting. For monolayer WSe2 we find an effective valley g-factor gv =4 corresponding to the magnetic moment of the valence band d-orbitals in the K± valleys of ±2mu;B (from the tight binding Hamiltonian), and a Fermi velocity vF= 0.51 x 106 cm2/s. To the best of our knowledge, is the first determination of the orbital contribution and hence the Fermi velocity in WSe2.In contrast, in bulk WSe2 where the inversion symmetry is restored, transmission measurements show a distinctly excitonic behavior with absorption to the 1s and 2s states. Magnetic field induces a spin splitting together with a small diamagnetic shift and cyclotron like behavior at high fields, which is best described within the hydrogen model [2].
[1] A. Mitioglu et al., Phys Rev B. 88 245403 (2013)
[2] A. Mitioglu et al, just accepted Nano Letters (http://pubs.acs.org/doi/abs/10.1021/acs.nanolett.5b00626)
EE4: Chemical Doping, Contact and Bandgap Engineering of 2D Materials
Session Chairs
Jud Ready
Sayeef Salahuddin
Tuesday AM, December 01, 2015
Hynes, Level 2, Room 210
9:15 AM - *EE4.01
Contact Engineering, Chemical Doping, Heterostructures and Tunnel Transistors of Layered Semiconductors
Ali Javey 1
1Univ of California-Berkeley Berkeley United States
Show AbstractTwo-dimensional (2-D) semiconductors exhibit excellent device characteristics, as well as novel optical, electrical, and optoelectronic characteristics. In this talk, I will present our recent advancements in contact engineering, surface charge transfer doping, and heterostructure devices of layered chalcogenides. Forming Ohmic contacts for both electrons and holes is necessary in order to exploit the performance limits of enabled devices while shedding light on the intrinsic properties of a material system. In this regard, we have developed different strategies, including the use of surface charge transfer doping at the contacts to thin down the Schottky barriers, thereby, enabling efficient injection of electrons or holes into MoS2 and WSe2 mono- and multi-layers. As a result, we have been able to show high performance n- and p-FETs with both MoS2 and WSe2. Additionally, I will discuss the use of layered chalcogenides for various heterostructure device applications, exploiting charge transfer at the van der Waals heterointerfaces. I will also present progress towards achieving tunnel transistors using layered semiconductors.
9:45 AM - EE4.02
Metallurgical Considerations for Contacts to MoS2, WS2, and WSe2
Anna C Domask 1 Ramya Gurunathan 1 Yitian Zeng 1 Suzanne Mohney 1
1Pennsylvania State Univ University Park United States
Show AbstractTransition metal dichalcogenides (TMDs) are a class of layered materials that are attracting great attention for their potential for low-power field effect transistors and novel electronic devices. One of the barriers to making electronic devices from single or few-layer TMDs is the difficulty of forming low-resistance ohmic contacts. While research into ohmic contacts to many TMDs is ongoing, missing from this conversation is a comprehensive understanding of the thermodynamics of the various contact metal (M)-transition metal-chalcogen systems and the anticipated equilibrium reaction products. Specifically, this talk will focus on the thermodynamic equilibriums in the M-Mo-S; M-W-S; and M-W-Se systems, and will present both calculated ternary phase diagrams and insights from the existing experimental literature in order to inform further research into these systems. Systematic trends within each ternary system and between the three studied systems will be discussed. Finally, we will compare our expectations to new experimental results from our laboratory on metal/TMD reactions.
10:00 AM - EE4.03
Atom Probe Tomography Analysis of Doping in 2-D Materials
Xiaochen Ren 1 Lei Fang 1 2 Arunima K. Singh 3 Francesca Tavazza 3 Albert Davydov 3 Mercouri G. Kanatzidis 1 2 Lincoln J. Lauhon 1
1Northwestern Univ Evanston United States2Argonne National Laboratory Argonne United States3NIST Gaithersburg United States
Show AbstractSubstitutional doping is a powerful method for tuning the electronic properties of materials and is a foundation for device engineering. Doping of 2-D materials remains an outstanding challenge, and advances in both synthesis and characterization are necessary for the field to advance. In 3-D materials, atom probe tomography (APT) has been used to characterize the three-dimensional dopant distribution with sub-nanometer resolution, but the method has not previously been applied to 2-D materials. Here we describe the use of APT to analyze the dopant distribution in a series of 2-D materials with variable interlayer interactions. Initial feasibility studies of MoS2 demonstrate the ability to acquire mass spectra, but also reveal that the weak interlayer bonding often leads to premature sample failure. We therefore demonstrate the feasibility of dopant mapping in layered 2-D materials in (PbSe)5(Bi2Se3)3m (m = 1,2,...), a homologous series of compounds consisting of heterostructures of Bi2Se3 layers and PbSe layers. The m = 1 phase, (PbSe)5(Bi2Se3)3 is a semi-metal while the m = 2 phase, (PbSe)5(Bi2Se3)6 is a topological insulator. [1] A recent study showed that Ag doping can change (PbSe)5(Bi2Se3)3 into a superconductor while doped (PbSe)5(Bi2Se3)6 remains a topological insulator [2]. The lateral intergrowth of these two phases is possible due to their related structures, thus proving a potential platform for exploring Majorana fermion state. Ag is presumed to substitute for Pb, but its location has not previously been determined. Surprisingly, APT analysis shows that Ag exists in both PbSe layers and Bi2Se3 layers. Consistent with this conclusion, first principles calculations find that the greatest number of low energy configurations are associated with Ag distributed in both PbSe layers and Bi2Se3 layers. The accurate determination of the distribution of Ag dopants is important for understanding how they change the m = 1 phase into a superconductor. Looking forward, APT also has the potential to distinguish between substitutional dopants in 2-D layers and dopants that intercalate between layers, which can contribute to accurate analysis of doping in 2-D materials and the development of controlled growth schemes.
References
1. Nakayama, K., et al. Phys. Rev. Lett.109, 236804 (2012).
2. Lei, L., et al. Phys. Rev. B 90, 020504 (2014).
10:15 AM - EE4.04
Bandgap Tunable Lateral and Vertical Heterostructures Based on MoS2/WS2 Monolayers
Yu Kobayashi 1 Shohei Mori 1 Shogo Sasaki 1 Yutaka Maniwa 1 Yasumitsu Miyata 1 2
1Tokyo Metropolitan University Hachioji Japan2JST-PRESTO Kawaguchi Japan
Show AbstractWe report the direct growth of lateral and vertical heterostructures based on MoS2, WS2, and Mo1-xWxS2 monolayers. As reported previously [1,2], these monolayers were grown by chemical vapor deposition (CVD) and the sulfurization of patterned thin films of WO3 and MoO3. For the CVD, the heterostructures were prepared by a two-step deposition process with clear reaction chambers. We found that the use of such clean chambers enables the formation of atomically-sharp interface of lateral heterostructures. For the thin-film sulfurization, the patterned films are capable of generating a wide variety of concentration gradients due to the diffusion of transition metals during the crystal growth. Under high temperature condition, this leads to the formation of monolayer crystals of Mo1-xWxS2 alloys with various compositions and bandgaps depending on the growth positions on the substrates. Heterostructures of these alloys are also obtained through the stepwise changes in W/Mo ratios within a single domain during low temperature growth. The present findings demonstrate an efficient means of both studying and optimizing the optical and electrical properties of TMDC-based heterostructures to allow their use in future device applications.
[1] Y. Kobayashi, et al. ACS Nano 9 (2015) 4056-4063., [2] Y. Kobayashi, et al. Nano Res. (2015) in press.
10:30 AM - EE4.05
Improving Contact Resistance in MoS2 Transistors by Interface Modification with a Low-Energy Ion Beam
Zhihui Cheng 1 Jorge Cardenas 3 Felicia Ann McGuire 1 Aaron D. Franklin 1 2
1Duke University Durham United States2Duke University Durham United States3Arizona State University Tempe United States
Show AbstractTransition metal dichalcogenides, including MoS2, are 2D semiconducting layered materials that offer promise for future transistors. With near-atomic thinness, MoS2 can yield ideal electrostatics for controlling the potential in the transistor channel even at sub-10 nm gate lengths. In order to realize high-performance MoS2-based nanoelectronics, it is of paramount importance to obtain low contact resistance without compromising a large on/off-current ratio and small subthreshold swing. While some methods have been proposed to reduce contact resistance—such as various contact metals, chemical doping and annealing conditions—challenges still remain for finding a reproducible solution that does not jeopardize the needed low subthreshold swing and large on/off-current ratio. In this work, we uniquely modify the interface between MoS2 and Au metal contacts using a low-energy ion beam source in ultra-high vacuum. By selectively exposing the source/drain contact areas of MoS2 to low-energy ion beams of Ar or N2 (50-300 eV), interfacial bonds are created to yield improved transport behavior and, thus lower the contact resistance.
Using a custom-designed vacuum system (built by Kurt J. Lesker company) that incorporates a broad beam ion source with an electron-beam evaporator, Ar and N2 ion beams allowed modification of the MoS2 contact interfaces. We analyzed the impact of different exposure energy and time on the MoS2 crystal structure and resultant transistor performance. It was observed that the crystal structure of MoS2 could be changed by ion beam bombardment, forming defects and interface traps. In the device fabrication process, MoS2 was exfoliated and transferred to Si substrates with 10 nm SiO2. Electron-beam lithography was used to define the contact patterns in PMMA resist, which served as both a protective layer for selective ion beam exposure to the contact regions and a lift-off layer for forming the metal contacts. The MoS2 in the contact area was exposed to an Ar or N2 ion beam of specific energy and duration. After depositing the Au contact metal, the back-gated transistors were characterized and compared to devices without contact modification to determine the impact on performance. Also studied are the effects of annealing on the defects formed in the MoS2-Au contact. Overall, this ion beam modification approach provides insight on how, and to what extent, defects can alter the electronic properties of contact interfaces to MoS2 interfaces, and opens new routes towards controllable engineering of the properties of MoS2-based electronic devices.
10:45 AM - EE4.06
CVD Growth of MoS2 Using Sputtered MoO3 and Mo Films
Shanee Pacley 1 Jianjun Hu 2 Michael Jespersen 2 Albert Hilton 3 Adam R. Waite 4 Elizabeth Moore 3 Emory Beck-Millerton 1 Jacob Brausch 1 Andrey Voevodin 1
1Air Force Research Lab Wright Patterson United States2UDRI Dayton United States3Wyle Dayton United States4UTC Dayton United States
Show AbstractMonolayer molybdenum disulfide (MoS2), a 2D semiconducting dichalcogenide material with a bandgap of 1.8 eV, has demonstrated promise for future use in field effect transistors and optoelectronics. Various approaches have been used for MoS2 processing, the most common being chemical vapor deposition (CVD). During CVD, precursors such as Mo, MoO3 and MoCl5 have been used to form a vapor reaction with sulfur, resulting in thin films of MoS2. Currently, MoO3 ribbons and powder, MoCl5 powder, as well as sputtering and electron beam evaporation of Mo are used to produce continuous MoS2 films. Here, we compare structure and electrical properties of MoS2 grown by sulfurization of pulse sputter deposited MoO3 and Mo precursor films. Transmission electron microscopy results show a more uniform and continuous film growth for MoS2 films produced from Mo when compared to the films produced from MoO3. This uniform and continuous growth is also shown in the Raman mapping for films grown using Mo precursors, where the E2g and A1g peaks position (382 cm-1 and 406 cm-1) is uniform across the sample, with a Δ of 25 cm-1. In contrast, MoS2 grown using sputtered MoO3 precursor showed a shift in both the E2g and A1g peak, and a Δ of 26 cm-1. Finally, electrical measurements indicated that the Mo precursor resulted in a conductive MoS2 film (Rs = 18.9 kOmega; at room temperature), while the MoO3 resulted in non-conductive MoS2 films, a result of the discontinuous film growth.
11:30 AM - *EE4.07
2D Dichalcogenide Electronic Materials and Devices
Andras Kis 1
1EPFL Lausanne Switzerland
Show AbstractThe discovery of graphene marked the start of research in 2D electronic materials which was expanded in new directions with MoS2 and other layered semiconducting materials. They have a wide range of promising potential applications, including those in digital electronics, optoelectronics and flexible devices.
In my talk, I will review the status of our research in 2D transition metal dichalcogenides (TMDCs) and present our current level of understanding on the influence of contacts, material quality and the environmental effects on 2D materials, all critical for achieving high performance levels in devices based on 2D semiconductors. I will also update on our efforts to achieve high operation frequencies in scaled TMDC devices. Finally, I am going to present avalanche photodetectors based on Si/MoS2 heterostructures that can achieve high levels of charge carrier multiplication.
12:00 PM - EE4.08
Low Sulfur Vacancy Concentration in Single Layer of Tungsten Disulfide
Victor Carozo 1 Kazunori Fujisawa 1 Yuanxi Wang 1 Bruno Carvalho 1 Amber McCreary 1 Zhong Lin 1 Simin Feng 1 Chanjing Zhou 1 Nestor Perea 1 Ana Laura Laura Elias 1 Bernd Kabius 2 Vincent Crespi 1 Mauricio Terrones 1 3 4
1The Pennsylvania State University State College United States2The Pennsylvania State University State College United States3The Pennsylvania State University State College United States4The Pennsylvania State University State College United States
Show AbstractThe transition metal dichalcogenides offer a new platform for exploration of low-dimensional physical phenomena and physical properties in two-dimensional crystal systems. However, a systematic study to understand the influence of sulfur vacancy concentration on the photoluminescence properties still remains unrevealed. Here, we investigate sulfur vacancy concentration in triangular single layers (tSLG) of tungsten disulfide grown by CVD using low temperature photoluminescence spectroscopy, STEM images and DFT calculations.
We used photoluminescence spectroscopy and microscopy at low temperature to observe XB excitons bound emission. Exciton bound emission comes from an optical transition involving mid-gap states induced by sulfur vacancies. Spectra and PL images acquired at 77K highlights the absence of XB peak inside of triangular island. On the other hand, XB excitons bound can be observed at the edges of tSLG. Evolution of PL spectra with temperature show that XB excitons bound between 100 to 77K can only be observed at the edges of tSLG. We conducted optical pumping between 0.05 to 1.5 mW. In this range, the center of tSLG do not present activation of excitons bound and the excitons bound from edges was not pumped. Statistic analysis using STEM images show sulfur vacancies concentration around 0.13 nm-2 for typical tSLG. DFT calculations show a threshold where mid-gaps state can be only observed in values of sulfur vacancies concentration above 0.70 nm-2.
The synthesis of tSLG of tungsten disulfide by CVD produce crystals with extremely high quality and low sulfur vacancies confirmed by absence of excitons bound, statistic analysis of STEM images and DFT calculations.
V. Carozo at. all., Low sulfur vacancy concentration in single layer of tungsten disulfide, unpublished.
12:15 PM - EE4.09
Atomic Uniqueness in 2D Materials for Physical Security Devices
Robert James Young 1 Jonathan Roberts 1 Mohamed Missous 2 Utz Roedig 1
1Lancaster University Lancaster United Kingdom2The University of Manchester Manchester United Kingdom
Show AbstractModern technology provides resources that enable the trust of everyday interactions to be undermined. Some authentication schemes address this using devices that give unique outputs in response to a challenge. Signatures are generated by hard-to-predict physical responses derived from structural characteristics, which lend themselves to two different architectures, known as unique objects (UNOs) and physically unclonable functions (PUFs)1. The classical design of UNOs and PUFs limits their size and, in some cases, their security. Here we show that 2D quantum physics lends itself to the provision of unique identities derived from structural properties at the atomic level, using fluctuations in electronic measurements of quantum wells2 in resonant tunnelling diodes (RTDs) and optical measurements of semiconducting 2D layers, such as graphene oxide. These provide uncomplicated measurements of identity, without conventional resource limitations whilst providing robust security.
Devices comprising randomness intrinsic to their fabrication can form the basis of solutions to the threat of hardware and software cloning. These form an inseparable link between their physical structure and an identity, providing a building block from which a secure system can be built. Using nanostructures containing thousands of atoms, such as flakes of 2D materials, affords a high degree of uniqueness due to the inherently random nature of the atomic positions and imperfections. Simulating these structures requires vast computing power, and is not achievable on a reasonable timescale, even with a modest quantum computer. When coupled with the fact that the underlying structure is unknown, unless dismantled atom-by-atom, this makes simulation extremely difficult. Given the impracticality of copying the device at the atomic level, such technology would provide near guaranteed unclonability. The application of quantum phenomena in UNO/PUF-like architectures provides a means of harbouring a secret identity on the nanoscale in devices that can be incorporated in current microelectronic processes. This enables a lower size, weight and power footprints than current systems.
While inhomogeneity in the fabrication of nanostructures often leads to unpredictable behaviour of the final device, which is normally undesirable, we have proposed and demonstrated a potential use for the quantum behaviour of atomically irreproducible systems. The devices presented, based around 2D quantum structures, afford a secure bit density of 2.5 bits/µm2. This is twice the value of state of the art classical PUFs, and will increase significantly for optimised devices. These devices can be seamlessly integrated into embedded electronic systems to provide robust unique identities requiring atom-level engineering to clone.
1 R. Pappu et al. “Physical one-way functions”, Science 297, 2026-2030 (2002).
2 J. Roberts et al. “Atomic-scale Authentication Using Resonant Tunnelling Diodes", arXiv:1502.06523 (2015)
12:30 PM - EE4.10
Profound Effect of Chemical Doping on the Optical Properties of CVD Grown Single Layer WS2
Satyaprakash Sahoo 1 Anand Prakash Singh Gaur 1 Adriana M. Rivera 1 Kallol Pradhan 1 Saroj P. Dash 2 Sandwip Dey 3 Ram S. Katiyar 1
1University of Puerto Rico San Juan United States2Chalmers University of Technology Gouml;teborg Sweden3Arizona State University Tempe United States
Show AbstractRecently, there have been tremendous research interests in single layer (1L) of transition metal dichalcogenides (TMDs) such as 1L-MoS2 and WS2 due to their extraordinary physical properties and these materials are very promising for future electronics and opto-electronics applications. Each layer of TMDs is consisting of a plane of transition metal atoms sandwiched between two planes of chalcogenide atoms in a prismatic unit cell. Because of the electron confinement in a 1L-TMDs, the optical and electronic properties of such single layers are different from the bulk counterparts. Controlling the carrier concentration in 1L-TMDs is a novel approach to tune their physical properties. Here we present chemical doping in CVD grown 1L-WS2 by few commonly used laboratory solvents. Phenomenal changes in the room temperature photoluminescence are noticed which are characterized by distinct trionic emission along with sharp excitonic emission. Moreover, the spectral strength is quenched significantly which suggests n-type doping. Furthermore, a clear temperature dependency in the intensities of excitonic and trionic emissions is observed i.e with decrease in temperature, intensity of former and latter decreases and increases, respectively. Such exciton-trion dynamics is modeled using law of mass action for trion.
12:45 PM - EE4.11
2D Semiconductor Based Tunnel Field Effect Transistors : A New Frontier in Ultra-Low Power Electronics and Sensors
Deblina Sarkar 1 Xuejun Xie 1 Wei Liu 1 Wei Cao 1 Jiahao Kang 1 Yongji Gong 2 Stephan Kraemer 1 Pulickel Ajayan 2 Kaustav Banerjee 1
1Univ of California-S Barbara Santa Barbara United States2Rice University Houston United States
Show AbstractAggressive technology scaling for sustaining the unprecedented growth of Information Technology, leads to severe power dissipation, which can usher in the dead end of the glorious scaling trend. To address this power issue, it is necessary to reduce both the static and dynamic power at ultra-scaled channel lengths, which is a daunting challenge. 2D semiconductors are promising candidates as channel material since they can enable dimensional scaling without degradation of device electrostatics and thus, can reduce static leakage. Dynamic power reduction, on the other hand requires scaling of power supply voltage, which is difficult due to the fundamental thermionic limitation in the steepness of turn-on characteristics or subthreshold swing of conventional Field Effect Transistors (FETs). This work provides the first demonstration of a 2D transistor to break the fundamental limitation in subthreshold swing of conventional FETs, which can lead to simultaneous scaling of device dimensions and supply voltage. This is achieved by employing quantum mechanical band-to-band tunneling as the carrier transport mechanism. Using atomically thin MoS2 to serve as the channel material, excellent electrostatics as well as low tunneling barrier are achieved, which lead to sub-thermionic subthreshold swing over 4 decades of drain current. Our device is also the world's steepest tunnel-FET working at an ultra-low supply voltage of 0.1 V. This energy advantage and scalability of our device can steer the development of new generations of ultra-dense and ultra-energy-efficient integrated electronics. Moreover, such a device is highly promising for the diverse arena of electrical bio/gas sensing technology, as significant change in signal can be obtained with small variation in molecular charge or work-function and thus, has important implications for healthcare, environmental monitoring as well as security.
References
D. Sarkar, X. Xie, W. Liu, W. Cao, J. Kang, Y. Gong, S. Kraemer, P. M. Ajayan and K. Banerjee, “A subthermionic tunnel field-effect transistor with an atomically thin channel,” Nature, 2015, DOI: 10.1038/nature15387 (in press)
D. Sarkar, W. Liu, X. Xie, A. Anselmo, S. Mitragotri and K. Banerjee, “Molybdenum Disulphide Based Field Effect Transistors for Next-Generation Label-free Biosensors,” ACS Nano. Vol. 8, No. 4, pp. 3992, 2014.
D. Sarkar and K. Banerjee, “Proposal for Tunnel-Field-Effect-Transistor as Ultra-Sensitive and Label-Free Biosensor,” Appl. Phys. Lett. Vol. 100, No. 14, pp. 143108, 2012.
Symposium Organizers
Wonbong Choi, University of North Texas
Albert Davydov, National Institute of Standards and Technology
Young Hee Lee, Sungkyunkwan University
Jud Ready, Georgia Tech Research Institute
Symposium Support
Graphene Square Inc.
Rocky Mountain Vacuum Tech., Inc.
EE8: Electrical Properties and Devices of 2D Materials
Session Chairs
Joerg Appenzeller
Young Hee Lee
Wednesday PM, December 02, 2015
Hynes, Level 2, Room 210
2:30 AM - *EE8.01
Toward Quantum Electronics Based on 2-Dimensional Materials and Beyond
Philip Kim 1
1Harvard University Cambridge United States
Show AbstractThe recent advent of atomically thin 2-dimensional materials such as graphene, hexa boronitride, layered transition metal chalcogenide and many strongly correlated materials, has provide a new opportunity of studying novel quantum phenomena in low dimensional systems and utilizing them for novel electronic devices. In particular, graphene has been provided us opportunities to explore exotic transport effect in low-energy condensed matter systems and the potential of carbon based novel device applications. In this presentation I will first discuss the exotic quantum transport behavior discovered in graphene nanostructures in the relation to the device applications beyond CMOS operation. In addition, I will discuss the new type of material classes based on 2-dimensional van der Waal materials and their heterostructures including atomically thin vertical heterojunction based on the vdW assembly of transition metal dichalcogenides and graphen. Unlike conventional semiconductor hetero junctions, here charge transport and photovoltaic response of the devices are found to critically depend on the interlayer recombination process between majority carriers mediated by tunneling across the interface. We demonstrate the enhanced optoelectronic performances in the vdW heterostructures, suggesting that these a few atom thick interfaces may provide a fundamental platform to realize efficient, fast and tunable bipolar electronics, and optoelectronics.
3:00 AM - EE8.02
Quantum Spin Hall Effect in 2D Transition Metal Dichalcogenides and Their van der Waals Heterostructure for Topological Field Effect Transistors
Xiaofeng Qian 1 Junwei Liu 2 Liang Fu 2 Ju Li 3
1Texas Aamp;M University College Station United States2Massachusetts Institute of Technology Cambridge United States3Massachusetts Institute of Technology Cambridge United States
Show AbstractQuantum spin Hall (QSH) insulators are a special class of two-dimensional materials which have an insulating bulk but conducting edge states that are topologically protected from backscattering by time reversal symmetry. However, their applications are largely limited by the small band gap and the lack of efficient on-and-off switching in materials that have been identified as QSH insulators. Here using first-principles calculations we predict a class of large-gap QSH insulators in 2D transition metal dichalcogenides (TMDC) with 1T' structure, namely, 1T'-MX2 with M=(W, Mo) and X=(Te, Se, S). We found that a structural distortion causes an intrinsic band inversion between chalcogenide-p and metal-d bands. Additionally, spin-orbit coupling opens up a gap that is tunable by vertical electric field and elastic strain. We propose a topological field effect transistor made of van der Waals heterostructures of 1T'-MX2 and 2D dielectric layers such as hexagonal BN layers that can be rapidly switched on and off by electric field through topological phase transition instead of carrier depletion. Recent experimental progress on the synthesis and measurement of 1T'-MoTe2 paved a way towards further identification of the predicted QSH effect in these 2D TMDCs. Finally, we will update our recent work on the search for other 2D topological materials and highlight some exciting opportunities for materials synthesis and characterizations. References: Xiaofeng Qian, Junwei Liu, Liang Fu, and Ju Li, Quantum Spin Hall Effect in Two-Dimensional Transition Metal Dichalcogenides, Science 346, 1344-1347 (2014); Keum et al., Bandgap opening in few-layered monoclinic MoTe2, Nature Physics 11, 482-486 (2015).
3:15 AM - EE8.03
SnS2 - An Emerging Layered Metal Dichalcogenide Semiconductor: Materials Properties, Device Characteristics, Electron-Induced Structural Transformations
Eli Sutter 1 Yuan Huang 2 Peter Sutter 1
1University of Nebraska-Lincoln Lincoln United States2Brookhaven National Laboratory Upton United States
Show AbstractLayered metal dichalcogenides have received attention as 2D materials beyond graphene. Semiconducting dichalcogenides are particularly attractive since they may enable next-generation low-dimensional electronics benefiting from carrier confinement and other attractive characteristics, such as flexibility and bendability. While transition metal dichalcogenides - particularly MoS2 - have been studied as prototype systems, other materials, especially those incorporating normal metals, have received much less attention.
Here we discuss the structure, electronic properties, and device characteristics of tin disulfide (SnS2), a semiconducting non-transition metal dichalcogenide. We demonstrate the isolation of few-layer and single-layer SnS2. Using aberration-corrected transmission electron microscopy (AC HR-TEM) and other microscopy methods, we establish the characteristics of single- and few-layer SnS2. Band structure measurements in conjunction with photoluminescence and TEM-cathodoluminescence spectroscopy allow us to determine the electronic structure of semiconducting SnS2 and its evolution from the bulk to a single layer [1]. By fabricating field-effect transistors, we explore the achievable carrier mobility and the characteristics of photodetectors, and we identify the factors that govern the performance of SnS2 (opto-) electronic devices.
Electron irradiation in the TEM is used to assess the stability of few-layer SnS2 and study possible electron-beam driven transformations. Electron irradiation has been used to evaluate the radiation response and defect production of 2D materials, and to develop beam-mediated processing techniques. We establish that electron irradiation between 80 and 300 keV invariably affects the structure of few-layer SnS2. Using real time AC HR-TEM, we follow these structural transformations and show that the associated loss of the chalcogen species (S) accompanies a controlled conversion from Sn(IV) to Sn(II) sulfide. Driving this conversion locally with the electron beam creates in-plane 2D heterostructures between different layered sulfides [2].
[1] Y. Huang, E. Sutter, et al., ACS Nano8, 10743 (2014).
[2] E. Sutter, Y. Huang and P. Sutter, submitted (2015).
4:30 AM - *EE8.04
Two-Dimensional Layered Materials - Analyzing and Understanding the Electronic Properties of Ultra-Thin Body Field-Effect Transistors
Joerg Appenzeller 1
1Purdue Univ West Lafayette United States
Show AbstractWith two-dimensional (2D) materials offering unique opportunities for future nanoelectronics applications, the quest is to analyze their intrinsic properties carefully and to identify their potential application realm. While the most commonly studied 2D system, i.e. graphene, has excited scientists because of high achievable carrier mobilities, the absence of a band gap makes many device related applications very challenging. On the other hand transition metal dichalcogenides (TMDs) as MoS2, WSe2, or WS2, to just name a few, or black phosphorus offer sizable bandgaps at mobilities that cannot be achieved in bulk materials that are scaled down to similar body thicknesses. In my presentation I will discuss the benefits of an ultra-thin body structure for scaled device applications. I will also elucidate the critical impact of Schottky barrier (SB) contacts to benefit from the intrinsic performance of TMD based field-effect transistors (FETs). Our study supports in particular the notion that an apparently linear device Id-Vds characteristic is by no means evidence of an Ohmic contact and that contacts frequently mask the true mobility specs of novel low-dimensional materials. Comparing the electronic properties of different TMD FETs and phosphorene devices allows gaining critical insights into the potential of the various materials. In this context, I will also present an analytical approach that is applicable to ultra-thin body devices in general and that allows comparing and extracting performance specs of different devices in a straight forward fashion.
5:00 AM - EE8.05
Strain Dependence of Structural and Electronic Properties of Select Two-Dimensional Transition Metal Dichalcogenides
Rodrick Kuate Defo 1 Sharmila Shirodkar 1 Shiang Fang 1 Georgios Tritsaris 1 Efthimios Kaxiras 1
1Harvard Cambridge United States
Show AbstractAs a result of the extensive work done on graphene, leading to advances in sample preparation, optical detection, and transfer and manipulation of two-dimensional (2D) materials, there has been a resurgence of interest in layered materials from which single sheets can be extracted, such as the class of transition metal dichalcogenides (TMDCs). A key advantage of certain TMDCs is that there is no need to engineer a bandgap in the monolayers, as confirmed by density functional theory (DFT) calculations. To understand emergent properties of compounds consisting of TMDCs layered with other materials where there is a lattice mismatch, it is crucial to understand the dependence of the key structural and electronic properties on strain. To this end, we present a phase diagram of the transition from an indirect to a direct band gap as a function of strain for MoS2, MoSe2, MoSSe, WS2, WSe2 and WSSe and investigate the dependence of the dielectric function on strain for these materials.
5:15 AM - EE8.06
Effect of Pressure on Electronic and Vibrational Properties of Monolayer Molybdenum Disulfide
Avinash P. Nayak 2 Tribhuwan Pandey 1 Damien Adrien Voiry 4 Jin Liu 5 Samuel T. Moran 2 Ankit Sharma 2 Cheng Tan 2 Chang-Hsiao Chen 3 Lain-Jong Li 6 Manish Chhowalla 4 Jung-Fu Lin 5 Abhishek Kumar Singh 1 Deji Akinwande 2
1Indian Institute of Science, Bangalore Bangalore India2The University of Texas at Austin Austin United States3Institute of Atomic and Molecular Sciences Taipei Taiwan4Rutgers University Piscataway United States5The University of Texas at Austin Austin United States6King Abdullah University of Science amp; Technology Thuwal Saudi Arabia
Show AbstractThe sensitivity of the electronic and lattice properties of two-dimensional (2D) materials on application of strain/pressure can open up a variety of applications in the emerging area of straintronics. Here we investigate the electronic and lattice vibrational properties of the distorted monolayer 1T-MoS2 (1Tprime;) and the monolayer 2H-MoS2 via a diamond anvil cell (DAC) and density functional theory (DFT) calculations. Under hydrostatic pressure the direct band gap of the monolayer 2H-MoS2 increases from 1.85 eV to 2.08 eV leading to 11.7% increase in band gap, which is the highest, reported value for 2D transition metal dichalcogenides (TMDs). We observed a monotonic decrease in the band gap past 23 GPa leading to metallization of the monolayer 2H-MoS2 at sim;69 GPa. For the bulk MoS2 interlayer interactions play an important role in the metallization, therefore as the number of layers increase, the threshold pressure at which the metallization occurs also decreases. The metallization of 2H-MoS2 can be explained by the interaction of sulfur atoms between with molybdenum atom. We find that the metastable 1Tprime;-MoS2 metallic state remains invariant with pressure, with the J2, A1g, and E2g modes becoming dominant at high pressures. This substantial reversible tunability of the electronic and vibrational property of the MoS2 family can be extended to other 2D TMDs. These results present an important advance toward controlling the band structure and optoelectronic properties of monolayer MoS2 via pressure, which has vital implications for enhanced device applications.
5:30 AM - EE8.07
Tuning the Electrical Properties of MoS2 via Surface Treatment
Wei Sun Leong 1 John Thong 1
1National University of Singapore Singapore Singapore
Show AbstractOwing to its exceptional electrical properties, molybdenum disulfide (MoS2), a two-dimensional semiconductor, has received tremendous attention from transistor community in the recent years. Having an intrinsic direct bandgap of 1.9 eV, field-effect transistors fabricated on monolayer MoS2 exhibit enhanced mobility with suppressed short-channel effect, high mechanical strength, and optical transparency. Nevertheless, studies on MoS2 transistors reported thus far has shown large variations in electrical performance including electron mobility, on/off current ratio, and threshold voltage. This impedes the use of MoS2 in realizing robust logic circuits in which reproducible control plays an essential role. In this work, we introduce two facile techniques, namely sulfur treatment and hydrogen treatment, which allow one to bi-directionally induce minor stoichiometry change in MoS2, and thus steadily control the electrical properties of MoS2. In particular, we observe that the transistors fabricated on almost perfect MoS2 (atomic ratio of Mo:S = 1:1.96) exhibit an average electron mobility that is twice better than that of MoS2 with slightly higher defect level (Mo:S = 1:1.89). We attribute this mobility enhancement to the reduction of defects (i.e. sulfur vacancies) in the basal plane of MoS2, which act as scattering centers. In addition, we observe that the on-off current ratio of MoS2 transistors decreases with the atomic ratio of Mo to S. This can be attributed to the difference in chemical reactivity between the electropositive Ti metallization and the MoS2 surface. We note that the reactivity of MoS2 increases with the amount of sulfur vacancies in its basal plane. Moreover, we observe a significant difference in the threshold voltage of transistors fabricated on MoS2 with trivial stoichiometry change. Specifically, transistors fabricated on almost perfect MoS2 flakes (Mo:S = 1:1.96) exhibit a very positive threshold voltage (18.5 ± 7.5 V), and more importantly, the threshold voltage of this group of transistors can be tuned to a small value (-2 ± 5 V) without any performance degradation simply by reducing the atomic ratio of S:Mo to 1:1.90 using our hydrogen treatment. Furthermore, from a combination of experimental evidence and density functional theory calculations, we found that the physical phenomena that determine the threshold voltage in MoS2 transistors is very different from the conventional silicon transistors, and is fully controllable by fine-tuning the MoS2 stoichiometry. In short, this work not only demonstrates for the first time the ease in tuning the electrical properties of MoS2, but also offers a CMOS-compatible process technology solution that is important for further development of MoS2 as a mainstream electronic material.
5:45 AM - EE8.08
MoS2 Field Effect Transistor Devices Based on Large Area MoS2 Films Grown Using Chemical Vapor Deposition
Sang Jeong Kim 1 Kyung-Hwa Yoo 1 Sung-Jin Park 1
1Yonsei Univ Seoul Korea (the Republic of)
Show AbstractWe report on synthesis of large-area MoS2using chemical vapor deposition (CVD). Relatively uniform MoS2 are obtained. To fabricate field-effect transistor (FET) devices, MoS2films are transferred to another SiO2/Si substrate using polystyrene (PS) and patterned using oxygen plasma. Also In order In addition, to reduce contact resistance, synthesis of graphene used as channel. Device characteristics are presented and compared with the reported results.
EE9: Poster Session III: Electrical and Optical Properties of 2D Materials
Session Chairs
Wednesday PM, December 02, 2015
Hynes, Level 1, Hall B
9:00 AM - EE9.01
The Effect of MoS2 Thickness on the Morphology and Optical Properties of Au/MoS2 Heterostructures
Katarzyna Golasa 1 Magdalena Grzeszczyk 1 Johanes Binder 1 Andrzej Wysmolek 1 Rafal Bozek 1 Adam Babinski 1
1Univ of Warsaw Warszawa Poland
Show AbstractThe morphology and optical properties of heterostructures composed of a thin MoS2 flake and a 1-1.5 nm layer of thermally evaporated gold (Au) are investigated using atomic force microscopy and Raman scattering spectroscopy. There have been Au nanoislands detected in the heterostructure. It has been found that their surface density depends on the MoS2 thickness ranging from 0.5 ×108 cm-2 in the 30 nm-thick sample to 10×108 cm-2 in a few monolayer (3 nm) sample. No Au islands were observed on the SiO2/Si substrate between the MoS2 flakes.
TThe effect of the MoS2 flake thickness on the heterostructure morphology can be qualitatively understood in terms of heat transfer processes in the heterostructure. The kinetic energy carried by Au atoms to the MoS2 surface is dissipated through thermal conduction processes. With the high thermal conductivity of MoS2, this process occurs relatively fast in the thick MoS2 flake. In the thinner MoS2 flakes the transfer may be blocked at the MoS2/SiO2 interface due to a substantial interfacial thermal resistance between the materials. As a result, longer characteristic time of cooling down the MoS2 surface may be expected. The surface temperature affects the mobility of the Au adatoms at the MoS2 surface as their diffusivity is given by the usual Arrhenius relation. This in turn affects the Au cluster migration which, in our opinion, is reflected in the thickness-dependent morphology of the Au nano-islands on top of the MoS2 flake.
It has also been found that the Raman scattering spectrum in the heterostructure with a few monolayer MoS2 only weakly depends on the excitation (resonant vs. non-resonant) mode which is different from the Raman scattering in bare MoS2. The observed Raman spectrum corresponds to the total density of phonon states, which is characteristic for disordered systems. The disorder in the MoS2 layer is related to the mechanical strain induced in the MoS2 layer by the Au nanoislands. The strain results in the localization of phonon modes, which leads to the relaxation of the momentum conservation rule in the scattering process. The relaxation allows phonons from the whole MoS2 Brillouin zone to interact with electronic excitations.
Our results provide an interesting insight into thermal conduction properties of atomically-thin MoS2 layers and show that the Au nanoislands resulted from thermal evaporation of a thin metal layer introduce substantial disorder into the crystalline structure of the underlying MoS2 layers.
9:00 AM - EE9.02
Reliability of Boron Nitride as Thin Dielectric
Yanfeng Ji 1 Fei Hui 1 Tingting Han 1 Xiaoxue Song 1 Yuanyuan Shi 1 Mario Lanza 1
1Soochow University Suzhou China
Show AbstractIn this study we report that monolayer Boron Nitride (BN) is an excellent insulator for electronic devices, and it overcomes the top performance shown by Hafnium Dioxide (HfO2) in all aspects related to reliability and variability. Before this study, atomically thin BN was mainly used as substrate in graphene-based devices to reduce scattering, but the knowledge about the dielectric properties of BN and their evolution with the stress were very limited. Our statistical study provides new insights on the use of this material as 2D dielectric.
In this study we are not interested on exfoliated BN films (E-BN), as the repeated peeling method to obtain the flakes is not compatible with the industry. On the contrary, we study large area sheets of BN grown by chemical vapor deposition (CVD) on Cu, which can be transferred on arbitrary substrates. The variability and homogeneity of CVD-BN has been studied at the nanoscale by means of conductive atomic force microscope (CAFM), and the results obtained have been compared to the performance of the most widespread dielectric, that is Hafnium Dioxide.
In total 1100 IV curves were collected at different locations of the CVD-BN, and the currents are similar with those previously reported by other groups using E-BN, indicating the good quality achieved using the CVD growth process. Large area current maps show homogeneous conduction through the monolayer BN film, and the existence of insulating islands. The onset voltages [VON, V(I=10pA)] of the IV curves collected at random locations ranged between ~0.4V and ~1V. The Weibull plot of the onset voltage for the different groups of IV curves reveals two clear distributions: one with a low variability (75% of events, monolayer BN), another with larger variability (25% of events, multilayer BN). This is supported by the calculation of the multilayer area in CAFM current maps.
The reliability of the monolayer BN films is analyzed by measuring sequences of IV curves at a single location. In order to establish the immediate relevance of the results, we perform similar experiments on an ultra-thin HfO2 film with a similar equivalent oxide thickness (EOT). A sequence of 112 IV curves collected on BN showed minimal deviations on the onset voltage, indicating a very strong stability vs. the electrical stress. On the contrary, the IV curves collected with HfO2 show the typical random conductivity fluctuations related to charge trapping, stress induced leakage current and dielectric breakdown, and the HfO2 layer completely failed after only 36 IV curves, in agreement with the previous literature. The superior and unprecedented stability of the BN layer is related to its uniform thickness, genuine lattice free of dangling bonds, and high chemical and thermal stability. Our experiments also indicate that the degradation and breakdown (BD) process of thin BN films occurs layer-by-layer, and this process doesn't follow the widespread percolation model of dielectric breakdown.
9:00 AM - EE9.03
Lateral Transport in Graphene/Ge Field Effect Transistors
Alireza Kazemi 1 Marziyeh Zamiri 1 Farhana Anwar 1 Javad Ghasemi 1 Terefe G Habteyes 1 Francesca Cavallo 1 Sanjay Krishna 1
1UNM Center for High Tech Materials Albuquerque United States
Show Abstract
Freestanding graphene exhibits exceptional electronic properties. However, for practical applications graphene needs to be supported by a substrate, mainly to provide mechanical support during processing and device operation. When integrated with a substrate surface, graphene electronic properties are significantly affected by the nature of the film-substrate interface. Recently integration of graphene with a variety of materials, including semiconductors, has revealed novel fundamental properties and expanded the application range of this 2D material. The graphene/Ge combination has earned a particular interest for two reasons: 1) Ge has shown to be an excellent catalyst for chemical vapor deposition of graphene; 2) record high mobility and effective surface transfer doping have been reported for graphene transferred onto Ge substrates. These results suggest the possibility of using graphene/Ge in fast analog electronics.
We fabricate field effect transistors (FET) based on graphene on lightly doped Ge (001) to investigate the applicability of this material combination in fast analog electronics. For this study a thin Ge film is transferred from a germanium on insulator (GeOI) wafer to a SiO2/p+Si substrate. Next chemical vapor deposited (CVD) graphene grown on Cu is transferred onto the Ge film. Back-gated field effect transistors are obtained by fabrication of source and drain contacts and a gate contact onto the graphene and the p+-Si, respectively. The transfer characteristics (i.e. mobility, carrier density) of the graphene/Ge junction are systematically investigated by field-effect measurements. Raman spectroscopy and x-ray photoelectron spectroscopy (XPS) are also employed to investigate the structure of graphene (i.e. quality of transfer, doping effects) and as well as the chemical nature of the graphene/Ge interface (i.e. disorder, strain).
This study suggests the possibility of using this material system in fast analog electronics, as well as graphene interconnects with low resistance. Moreover, the graphene/Ge Schottky junction is expected to have photovoltaic characteristics and thus can potentially be used for infrared (IR) light sensing.
9:00 AM - EE9.04
Effects of Thermal Treatment on the Transfer Characteristics of sub-50 nm SnS2 Thin-Film Transistor Arrays
Jeongsu Lee 1 Gunwoo Lee 1 Onejae Sul 1 Seung-Beck Lee 1
1Hanyang University Seoul Korea (the Republic of)
Show AbstractRecently, transition metal dichalcogenide (TMD) thin-films such as, MoS2, WS2, and phosphorene have received much attention for their semiconducting properties at monolayer thicknesses. Of these TMD materials, SnS2 has a relatively high bandgap of ~2.3 eV, which makes it fundamentally more applicable to thin-film transistors, due to possible lower leakage currents. However, there remain many device fabrication issues, such as large area deposition, nanoscale patterning, charge free insulation and low resistance contact, that need to be overcome for actual application.
Here, we demonstrate the fabrication of a sub-50 nm SnS2 thin-film transistor (TFT) array and also investigate the effects of thermal treatment on its transfer characteristics. We used a p++ Si/SiO2 (90 nm) substrate as the back-gate structure. Mechanical exfoliation was used to transfer a 5 nm thick SnS2 to the substrate. Then, electron-beam lithography on negative resist was used to define a 5 x 5 array of strips 50 nm wide and 500 nm long. For pattern transfer, dry etching using H plasma (5 mTorr, 10 sccm, 100 W) was used. After resist removal, Cr/Au (5/80 nm) source/drain electrodes were deposited using thermal evaporation. The ID-VG transfer characteristics after rapid thermal annealing (RTA) shows that after 300 oC for 5 minutes, the on/off current ratio increased by 600% showing improved contact characteristics. However, a furnace thermal annealing in Ar with 760 Torr at a lower treatment temperature of 200oC for 2 h showed an 80% decrease in on/off current characteristics. The reduced on-state current may be due to increased defects in the few layer SnS2 crystal, which may have caused the increase in VT due to increased trapped charges and reduce the carrier mobility from the increased scattering. An additional RTA step was performed which showed partial recovery of on-current and a decrease in VT. Using Raman spectroscopy and XPS analysis, on devices before and after thermal treatments, we will evaluate the effects of thermal treatment on SnS2 transport characteristics and TFT operation.
9:00 AM - EE9.05
Architecture for Large-Scale Integration of Graphene Optoelectronic Devices in Photonic Integrated Circuits
Jordan Alexander Goldstein 1 Dmitri Efetov 1 Dirk Englund 1
1MIT Cambridge United States
Show AbstractGraphene's ultrafast carrier dynamics, broadband uniform optical absorption, CMOS compatibility, and potentially low fabrication cost make it a promising optoelectronic material for photonic integrated circuits for telecommunications and optical interconnect applications. Here we demonstrate an adaptable process for the large scale integration of graphene photodetectors and modulators on dielectric waveguides, which paves the way towards high-speed graphene-based photonic integrated circuitry. Applying this process to a silicon photonic waveguide chip, we present results towards a reconfigurable optical send-receive network utilizing devices which can function as either photodetectors or modulators. We discuss further applications of this process, such as mid-infrared (mid-IR) waveguide-integrated optical sensors.
9:00 AM - EE9.06
Growth of Silicon Telluride: A Silicon-Based Two-Dimensional Chalcogenide
Mengjing Wang 1 Isabel Al-Dhahir 1 Sean Keuleyan 1 Kristie J Koski 1
1Brown Univ Providence United States
Show AbstractWe report the synthesis of single crystal 2D silicon telluride, Si2Te3, in multiple morphologies through vapor-liquid-solid (VLS) and colloidal methods. Morphologies include vertically oriented hexagonal nanoplates, flat hexagonal nanoplates, nanoribbons, and nanorods. Si2Te3 exhibits an intense red color corresponding to its strong and broad interband absorption that extends from the red into the infrared. We show that Si2Te3 possesses unique opto-electronic properties that may be modified after synthesis through mechanical exfoliation and intercalation of metal ions, Li+ and Mg2+, and zero-valent metals. Si2Te3 possesses chemical and processing compatibility with other silicon-based material including amorphous SiO2, which may suggest applications in silicon-based devices such as near- infrared photodetectors or LEDs and in energy storage.
9:00 AM - EE9.07
Graphene Bilayer DFT Growth Study over GaN
Maria G. Moreno-Armenta 1 Jairo A. Rodriguez-Martinez 2
1Univ Nacional Autonoma de Mexico Ensenada Mexico2Universidad Nacional de Colombia Bogota Colombia
Show AbstractGraphene monolayers and bilayers have been recently the subject of intense studies in regard to his optical properties.
In this work we are using First Principles calculations within the Density Functional Theory (DFT) framework in order to study the deposit of a graphene bilayer over different GaN surface structures. We have the goal to find defect free epitaxial growth, which could serve as a basis to introduce defects or doping it with the best suited elements in such a way that we will be able to fine tuning a band gap.
In previous work we have found that the most stable configurations were a 4 × 4(0 0 0 1) GaN/3radic;3 × 3radic;3 graphene under N-rich conditions and a 2radic;3 × 2radic;3(0 0 0 1) GaN Northrup bilayer/radic;21 ×radic;21graphene under Ga-rich conditions, where it is possible to obtain a defects free graphene monolayer over GaN that maintains its hexagonal honeycomb structure with the C C bonds intact1.
Our preliminary results in calculating a bilayer show that it is also possible to growth it and the determination of the best GaN surface structure to do so is underway.
Acknowledgments: DGAPA project IN102714. The authors are grateful to A. Rodriguez for his technical assistance. Calculations were performed at the DGCTIC-UNAM under project SC15-1-IR-18.
1. Miguel Espitia-Rico, Jairo Arbey Rodriguez-Martinez, Maria Guadalupe Moreno-Armenta, and Noboru Takeuchi, Applied Surface Science 326 (2015) 7-11
9:00 AM - EE9.08
Thickness Determination of Large Scale MoS2 Nanosheets with Optical Microscopy
Juhong Park 1 Nitin Choudhary 1 Jesse Smith 1 Wonbong Choi 1
1University of North Texas Denton United States
Show AbstractDue to the unique properties of bandgap variation with changing thickness in two-dimensional MoS2, it is critical to measure their thickness using a rapid and facile method for their widespread optoelectronic applications. We introduce the computational analysis from the contrast of the photographic images taken from thickness modulated MoS2 films prepared by two-step method of metal deposition and chemical vapor deposition (CVD) on glass substrate. The red (R), green (G), and blue (B) channels were decomposed from the photographic image revealed that the image contrast in green (G) channel is the most accurate fit (R2 = 0.996) as contrast increases with film thickness. These results were further reconciled with atomic force microscopy (AFM), high-resolution transmission electron microscopy (HRTEM), and Raman analysis. The thickness of MoS2 films can be predicted by simply knowing the contrast values in green (G) channel, which is very well matched with the experimental data. We believe that our computational method can be utilized to effectively measure the thickness of 2D MoS2 nanosheets, resulting in development of scalable 2D MoS2 films for their development in the field of transparent and flexible electronics.
9:00 AM - EE9.09
Resonant Tunneling Current Observation from PECVD Synthesized Boron Nitride
Fei Yao 1 2 Alan Seabaugh 2 Young Hee Lee 1
1CINAP, Sungkyunkwan University Suwon Korea (the Republic of)2LEAST, University of Notre Dame South Bend United States
Show AbstractIn this report, metal-insulator-semiconductor (MIS) diodes (Metal/BN/n-Si) and typical schottky diodes (Metal/n-Si) were fabricated and studied. A thin layer of BN was synthesized through plasma enhanced chemical vapor deposition (PECVD) and then transferred onto n-type silicon wafer by using PMMA. Different metal contacts (Al/Ti/Pd) were deposited on the top of BN. We found that a relatively high current was observed at the negative bias regime in the case of MIS diode compared to the common schottky diode. This phenomenon is completely repeatable and systematically studied with all three different metal contacts. Conducting AFM, TEM and noise analysis were applied to understand the results. A defect-assisted resonant tunneling model was proposed to explain the related observation. We believe this interesting finding may pave a way to reduce the contact resistance in two dimensional materials based devices.
9:00 AM - EE9.11
Graphene Channels Interfaced with Quantum Dots in Field Effect Transistors: Electrical and Photo-Induced Effects
Xin Miao 1 Samarth Trivedi 2 Haim Grebel 1
1New Jersey Institute of Technology Newark United States2New Jersey Institute of technology Newark United States
Show AbstractGraphene-based field effect transistors were assessed when interfaced with well separated semiconductor quantum dot (QD) array. Electrical and photo-electronic behaviour were studied. Relative Differential Resistance (RDR) was observed as a function of drain-source potential. The dots&’ photoluminescence (PL) was affected by both the drain-source and gate potentials.
Graphene - mono layer thick crystalline form of carbon - was used as the transistor channel. Graphene portrays high conductivity, chemical inertness, mechanical robustness and unusual dispersion relations. Characteristics of free-standing, mono or bi-layer graphene have been studied when deposited over nano-pore array of anodized aluminum oxide (AAO) substrates. One may postulate that the periodic array of pores will have a profound effect on the photoluminescence of Quantum Dots (QD) imbedded in them. Such arrangement led to the realization of the first visible surface plasmon laser.
Negative differential resistance (NDR) is a nonlinear electronic response as a result of a competition between tunneling and thermal processes. In the past we showed that electronic resonance may lead to nonlinear resistance, an effect which is accentuated by white-light illumination. We call the effect relative differential resistance (RDR). RDR is a measure of the resistance change, DR under dark and white light illumination, to the channel resistance R. Here we explore this effect further in terms of spectral excitations.
The transistor substrate was made of AAO on SiO2/Si. The silicon substrate was used as a back-gate electrode. The AAO was prepared by two-step anodization method. As a result of anodization, 50 nm thick perforated oxide layer was formed with 25-30 nm hole-diameter and a pitch of 100 nm. QDs were imbedded in the pores and the semi-transparent graphene was deposited on top of the AAO layer.
For the photoluminescence (PL) measurement, a 10 mW Ar ion laser at 488 nm was used in a confocal arrangement. Peak luminescence of QDs was measured at 580 nm. To obtain optimal resonance conditions, the sample was tilted and rotated. The effect of drain-source potential VDS on the peak PL intensity was observed. The peak intensity has increased as a function of VDS. The position of the transient has shifted in response to the gate voltage and in direct correlation with the appearance of RDR.
In summary, by taking advantage of free-standing graphene channels in FET devices and by coupling the channels to quantum semiconductor dots, we have demonstrated a novel opto-electronic device.
9:00 AM - EE9.12
Efficient Generation and Acoustic Electric Transport of High-Frequency Surface Acoustic Waves on Gated Graphene on SiC
Alberto Hernandez-Minguez 1 Abbes Tahraoui 1 Joao Marcelo J Lopes 1 Paulo Santos 1
1Paul-Drude-Institut fuuml;r Festkouml;rperelektronik Berlin Germany
Show AbstractEpitaxial monolayer graphene (EG) produced by silicon sublimation from SiC surfaces is emerging as a material for electronic applications due to its large-area, good electronic properties, and the semiconducting substrate. In this contribution, we report on the control of carriers in EG using the dynamic strain and piezoelectric fields produced by a surface acoustic wave (SAW). SAWs provide useful mechanisms for the dynamic modulation and transport of electrons and holes in semiconductor heterostructures [1]. In the case of graphene, SAWs can efficiently transport electric charges [2-4]. We generate the SAWs by acoustic transducers deposited after coating the EG samples with hydrogen-silsesquioxane (HSQ) and with a piezoelectric ZnO layer. The HSQ protects the EG during the fabrication steps and simultaneously provides a dielectric layer for the application of vertical top gates. Raman spectroscopy confirms that the fabrication steps do not degrade the graphene layer. The generation and propagation of SAWs with frequencies up to 7.12 GHz was confirmed by rf-power reflection (s11) and transmission (s12) measurements. Furthermore, the coating by ZnO enhances the acoustoelectric currents by almost two orders of magnitude as compared to Ref. [3]. These results are an important step towards the dynamic control of carriers in graphene at the sub micrometer regime, as well as for the dynamic manipulation of the electron spin by strain-induced gauge fields [5].
[1] C. Rocke et al., Phys. Rev. Lett. 78, 4099 (1997)
[2] V. Miseikis et al., Appl. Phys. Lett. 100, 133105 (2012)
[3] P. V. Santos et al., Appl. Phys. Lett. 102, 221907 (2013)
[4] L. Bandhu et al., Appl. Phys. Lett. 103, 133101 (2013)
[5] M. A. H. Vozmediano, Phys. Rep. 496, 109 (2010)
9:00 AM - EE9.13
Superconductor-Insulator Transition in Two-Dimensional Disordered NbSe2 Nanochannels
Tianyi Han 1 Jiangxiazi Lin 1 Ning Wang 1
1Hong Kong University of Science and Technology Hong Kong Hong Kong
Show Abstract2H-NbSe2 is a superconducting transition metal dichalcogenide with layered structure. In this work, the influence of disorder and the size effects to the transport properties of two-dimensional NbSe2 nanochannel flakes were systematically investigated. While the pristine NbSe2 flakes preserved superconductivity with critical temperature around 7.2K, the NbSe2 nanochannels fabricated by focused ion beam gradually turned from superconductor into insulator, with the decrease of channel widths and increase of disorder level. The temperature dependent resistance and the magneto-resistance were mainly probed by AC measurement, which gave the characterization on the electrical transport properties. Through elaborate analysis, this superconductor-insulator transition (SIT) was attributed to the effect of ion impurities on the channel edges combined with the quantum confinement of the system's dimension. Theoretical analysis on the microscopic transport mechanisms on the insulating side of SIT was also carried out. Mott's Variable Range Hopping (VRH) model and Sheng's Fluctuation Induced Tunneling (FIT) model was applied to substantiate the electronic transport behavior in presence of impurites and disorder. As a general physical picture, electrons were localized by ion impurites on the edges in VRH regime, and conducted through FIT in the bulk of the channel. The observation and analysis of SIT in NbSe2 nanochannels and the proposed physical origin may give hints on the physical nature of two-dimensional disordered superconductors, and deepen the understanding of superconductivity.
9:00 AM - EE9.14
Strong Pseudo Jahn-Teller Effect on the Single Hexagonal Unit of Germanene
Jorge Ramon Soto Mercado 1 Bertha Molina Brito 1 Jorge Castro Hernandez 2
1Universidad Nacional Autonoma de Mexico Meacute;xico DF Mexico2CINVESTAV del IPN Meacute;xico D.F. Mexico
Show AbstractGermanene, the 2D graphene-like Ge nanosheet, has been recently the subject of many theoretical studies and experimental attempts to synthesize it on Ag(111), Au(111) and Pt(111) surfaces. The experimental [1] and theoretical evidences of germanene show a 2D continuous honeycomb layer with a buckled conformation. DFT calculations [2] have predicted a larger buckling for germanene than silicene whose origin is also associated with a Pseudo Jahn-Teller (PJT) effect. In this work we show that despite the fact that both, silicene and germanene posses a buckled conformation with a PJT origin, their vibronic coupling have different origins. The analysis is based on the pseudo Jahn-Teller puckering instability of the hexagermabenzene molecule, the single hexagonal unit of germanene. This is done through the linear vibronic coupling model between the ground and the lowest excited states, which leads to a puckering distortion of the more symmetric cluster. We study both, the multilevel superposition vibronic model and possible mixing of excited states of different irreducible representations; models, which have been used to show the origin of similar structural transitions in hexagonal silicon and gold ring systems respectively [3,4]. We show that contrary to other one six-member ring cases, for the hexagermabenzene molecule, a mixture of both the multilevel PJT and a ground state coupling with two quasi-degenerate excited states is necessary for a satisfactory explanation of puckering. Our model allows a determination of the coupling constants and predicts simultaneously the Adiabatic Potential Energy Surface behavior for the ground and excited states around the maximum symmetry point. The analysis is based on a scalar relativistic DFT and TDDFT calculations in the Zero Order Regular Approximation (ZORA) using the B3LYP hybrid functional.
We are thankful with the computer facilities of the Direccioacute;n General de Coacute;mputo y de Tecnologías de Informacioacute;n y Comunicacioacute;n (DGTIC-UNAM) and with the GENERAL COORDINATION OF INFORMATION AND COMMUNICATIONS TECHNOLOGIES (CGSTIC) at CINVESTAV for providing HPC resources on the Hybrid Cluster Supercomputer “Xiuhcoatl”.
[1] L. Linfei et al, Buckled Germanene Formation on Pt(111), Adv. Mater. 26 (2014) 4820.
[2] A. Nijamudheen, R. Bhattacharjee, S. Choudhury, and A. Datta, Electronic and Chemical Properties of Germanene: The Crucial Role of Buckling, J. Phys. Chem. C 119 (2015) 3802.
[3] J.R. Soto, B. Molina, J.J. Castro, Reexamination of the origin of the pseudo Jahn-Teller puckering instability in silicene, Phys. Chem. Chem. Phys. 17, (2015), 7624.
[4] J.R. Soto, B. Molina, J.J. Castro, Nonadiabatic structure instability of planar hexagonal gold cluster cation Au-7 and its spectral signature, RSC Adv. 4 (2014) 8157.
9:00 AM - EE9.15
Growth and Optical Properties of High-Quality Monolayer WS2 on Graphite
Yu Kobayashi 1 Shogo Sasaki 1 Shohei Mori 1 Hiroki Hibino 2 Zheng Liu 3 Kenji Watanabe 4 Takashi Taniguchi 4 Kazu Suenaga 3 Yutaka Maniwa 1 Yasumitsu Miyata 1
1Tokyo Metropolitan University Hachioji Japan2NTT Corporation Atsugi Japan3National Institute for Advanced Industrial Science and Technology Tsukuba Japan4National Institute for Materials Science Tsukuba Japan
Show AbstractAtomic-layer transition metal dichalcogenides (TMDCs) have attracted appreciable interest due to their tunable bandgap, spin-valley physics, and potential device applications. However, the quality of TMDC samples available still poses serious problems, such as inhomogeneous lattice strain, charge doping, and structural defects. Here, we report on the growth of high-quality, monolayer WS2 onto exfoliated graphite by high-temperature chemical vapor deposition (CVD). Monolayer WS2 single crystals grown presents a uniform, single excitonic photoluminescence peak with a Lorentzian profile and a very small full-width at half maximum of 21 meV at room temperature and 8 meV at 79 K. Furthermore, in these samples, no additional peaks are observed for charged and/or bound excitons, even at low temperature. These optical responses are completely different from the results of previously reported TMDCs obtained by mechanical exfoliation and CVD. Our findings indicate that the combination of high-temperature CVD with cleaved graphite surface is an ideal condition for the growth of high-quality TMDCs, and such samples will be essential for revealing intrinsic physical properties and for future applications.
9:00 AM - EE9.16
Electronic Properties of 2D Metal Phosphorus Chalcogenides for Photocatalytic Water Splitting
Martha Audiffred 1 Anais Colibaba 1 Pere Miro 1 Thomas Heine 1
1Jacobs University Bremen Bremen Germany
Show AbstractTwo-dimensional (2D) materials exhibit a range of extraordinary electronic, optical, and mechanical properties, which differ from their bulk counterparts. The electronic structure and optical properties of a family of single-layered metal phosphorous chalcogenides (M2P2X6, M = Cd, Zn, V, Sn, Zn; X = S, Se) were investigated using Density Functional Theory. Their optimized parameters i.e. effective masses, lattice vectors, and band gaps were obtained and compared to the bulk material. For each structure, the conductance and valence band were compared with the reduction potential of H+/H2 and the oxidation potential of O2/H2O, respectively. In adittion, strain calculations were performed in order to tune the band edges to make the monolayers favorable for redox reactions, and to improve the optical absorption by modifying the band gaps.The calculated band edges of metal phosphorus trichalcogenides revealed that single-layer Zn2P2S6 together with the mixed phosphorous sulfur group (M1M2P2S6) have both suitable band gaps for visible-light driving and satisfy the condition for photocatalytic water splitting, which leads to the potential discovery of a new series of electrocatalytic materials.
9:00 AM - EE9.17
Optical Properties of Stacked Transition Metal Dichalcogenide Triangles
Chanjing Zhou 1 Ana Laura Elias 1 Nestor Perea Lopez 1 Victor Carozo 1 Bruno Ricardo Carvalho 1 Zhong Lin 1 Simin Feng 1 Eduardo Cruz Silva 1 Mauricio Terrones 1
1Pennsylvania State Univ State College United States
Show AbstractLayered materials such as transition metal dichalcogenides (TMDs) or graphene possess hexagonal symmetric structure in plane, and layers are bonded together via Van der Waals forces. Recently more attention are paid to heterostructures, because the interaction between different monolayers usually arouse new properties. This work focused on heterostructures of MoS2 triangles with twisted angles. The heterostructures were made by stacking two triangles via wet transfer method. Enhancement of Raman modes were observed in the stacked triangles. Intensity ratio A&’1(2L)/ A&’1(1L) was applied to characterize the enhancement of Raman signal. The intensity ratio was found to be related to the twisted angle of the stacked structure. The intensity ratio increased when the structure started twisting, and when it was twisted by 30 degree, the enhancement was the strongest.
9:00 AM - EE9.18
Active-Matrix Electronic Skin Strain-Sensor Based on Piezoelectric Graphene Transistors
Wanchul Seung 1 Qijun Sun 1 Jeong Ho Cho 1 Sang-Woo Kim 1 Hanjun Ryu
1Sungkyunkwan Univ Suwon-si Korea (the Republic of)
Show AbstractActive matrix network based on the integration of transistors with piezoelectric materials with high strain-sensitivity, flexible, and stretchable properties can be very promising for the application to tactile and strain sensors because the active matrix is free from signal crosstalk and features higher sensitivity according to the amplification effect of transistor. In addition, for the flexible or conformable electronic skin (E-skin) applications, piezoelectric polymers featuring excellent flexibility and low-temperature processability are superior compared with inorganic piezoelectric materials. Therefore, new transistor geometry should be introduced: in this work coplanar-gate structure of transistor with ion gel dielectrics is adopted. The long-range polarization of ions in an ion gel allows gate electrode to be located lateral with the channel. Thus, piezoelectric polymer could be simply patterned on top of the extended gate region to realize the piezoelectric potential gating.
We demonstrate, for the first time, the self-powered active-matrix strain sensor array based on piezoelectric potential-gated graphene transistor (GT) using a piezoelectric polymer. Interestingly, output signals under continuously-applied strain could be maintained as the piezoelectric potential was coupled to graphene channel through ion gel dielectrics, which is not possible for mechanical sensors only based on pizeoelectric nanogenerators. The strain sensor based on piezoelectric potential-gated GFET exhibited excellent performances including ultrahigh sensitivity (gauge factor = 389) and good durability (>3000 bending and releasing cycles) with a minimum detectable strain at 0.008 %. Moreover, applied strains onto our strain sensor matrix were quantitatively visualized in a 2-D color map. Transparent and conformable self-gated strain sensor fabricated on rubber substrate was also demonstrated to monitor hand movement.
9:00 AM - EE9.19
Graphene-Based Cutaneous Electrodes for Long-Term Electrophysiological Recordings
Frank Mendoza 1 Rafael Velazquez 1 Tej B. Limbu 2 Laura Mendez 1 2 Javier Avalos 1 3 Brad R. Weiner 1 4 Gerardo Morell 1 2
1Institute for Functional Nanomaterials San Juan United States2University of Puerto Rico - Rio Piedras San Juan United States3University of Puerto Rico - Bayamon Bayamon United States4University of Puerto Rico - Rio Piedras San Juan United States
Show AbstractHereby we report the fabrication of graphene-based flexible cutaneous electrodes that are suitable for short- and long-term contact with the skin for physiological recordings. Large-area graphene sheets were grown on copper by Hot Filament Chemical Vapor Deposition (HFCVD) and transferred onto polyimide substrate (Kapton) that had previously patterned gold contacts integrated to PEDOT:PSS (conductive polymer). The performance of these electrodes was compared with that of commercial cutaneous electrodes at 1 kHz. The graphene electrodes show lower impedance than commercial cutaneous electrodes, which can be useful for collecting patient&’s data over extended periods of time. Moreover, graphene-based electrodes remain stable, inert and discreet, thus being suitable for wearable medical devices intended for long-term electrophysiological recordings.
9:00 AM - EE9.20
Optical Properties of Stanene
Raghvendra Pratap Chaudhary 1 Sumit Saxena 1 Shobha Shukla 1
1IIT Bombay Mumbai India
Show AbstractThe successful synthesis of graphene has created a runaway effect in exploration of other two-dimensional materials. These materials are important as they provide large surface area and have lead to exploration of new physical phenomenon. Even though graphene has exotic electronic properties, the spin-orbit coupling is very weak. Tin is one of the heaviest elements in this series and has enhanced spin-orbit coupling along with other exotic properties of graphene. We have synthesized and characterized stanene using optical spectroscopic methods. Optical properties of stanene will be presented.
9:00 AM - EE9.21
Hybrid MoS2/Silicon Nanomembrance Phototransitstor with High Performance
Chen Zefeng 1 Jianbin Xu 1
1CUHK Hong Kong China
Show AbstractTwo-dimensional materials, such as graphene, is thought to be an ideal material for optoelectronic applications in various photodetection platforms. Graphenephototransistors are well-studied and show extremely high photoresponsivity due to the high carrier mobility. However, due to the zero band gap nature, the dark current of this type of graphene photodetectors is high and photocurrent on/off ratio is very low (normally, <1). Single layer MoS2 is drawing increasing attention because of its high on/off ratio in FET. Here, we report on a phototransistor composed of CVD MoS2 and nanomembrance silicon. The device shows a high responsivity ~ 60 A/W from visible spectral region to the infrared region (905 nm). The dark current is limited to 10-9 A (or nA), and the photocurrent on/off ratio is improved up to 1000. Comparing the MoS2/silicon photodiode, our device exhibits higher responsivity, as well as high the photocurrent on/off ratio.
9:00 AM - EE9.22
Inkjet-Printed Biocompatible h-BN Dielectric Ink for Flexible Electronics Devices.
Giovanni Vescio 1 2 Lucia Lombardi 2 Panagiotis Karagiannidis 2 Stephen Hodge 2 Albert Cornet 1 Andrea Ferrari 2 Albert Cirera 1 Felice Torrisi 2
1Universitat de Barcelona Barcelona Spain2University of Cambridge Cambridge United Kingdom
Show AbstractPrinted electronics is a rapidly expanding research area, enabling low-cost, large-area, light-weight and flexible electronics. Ink-jet printing is one of the most promising techniques for large area fabrication of flexible electronic devices.1,2 Despite much progress, ink-jet printed organic thin film transistor (TFTs) are still unstable in air , have limited lifetime,3 and have poor mobility (µ<0.5 cm2V-1s-1) 3 and ON/OFF ratios(<105). Near-ballistic transport and high mobility, make graphene an ideal material for nanoelectronics 4 enabling printed graphene TFTs with mobility up to 90 cm2V-1s-1.5 Other layered materials (LMs) such as hexagonal boron nitride (h-BN) or molybdenum disulphide (MoS2), offer properties that are complementary, yet distinct, from those in graphene.
Among all the LMs, h-BN is an insulating analogue of graphite and it is an appealing dielectric material for use in improved graphene-based devices.6 The relative large bandgap (Eg~5.8eV) 7 and favourable dielectric permittivity (k~3.5) 6 coupled with exceptional chemical and thermal stability, make h-BN an excellent dielectric alternative in the next generation of bendable and printed devices. Two-dimensional (2d) h-BN flakes could be peeled off from bulk h-BN and used as an atomically thin dielectric layer greatly enhancing the electronic transport of graphene and related material (GRM) devices.6-8
Here, h-BN biocompatible printable inks were prepared and used to demonstrate inkjet-printed flexible graphene/h-BN capacitors and TFTs. Nanosheets of h-BN are dispersed in water by ultrasonication 9-11 with the aid of a biocompatible polymer (Carboxymethyl cellulose) followed by centrifugation. CMC is a water-soluble polymer widely used as additive in, textiles, food packaging, biomedical applications and dyeing.12 Smooth dielectric thin films based on 2D h-BN few layers are printed.
The structure and the morphology of the h-BN films are investigated by scanning and transmission electron microscopies. As well, their quality and crystallinity are studied by Raman and X-ray photoelectron spectroscopies.
Inkjet-printed hBN-based capacitors showed a relative permittivity k~2.9, and a dielectric loss tangent tan δ~0.15 at 1 MHz. Furthermore, the electrical current density vs voltage and capacitance vs voltage characteristics were studied in the frequency range 10 kHz-10 MHz. TFTs configurations displayed huge potential for analog/RF applications.
1 H. Sirringhaus et al, Science, 290 (2000) 2123
2 B. J. DeGans et al, Adv. Mater. 16 (2004) 203
3 M. Singh et al, Adv. Mater, 22 (2010) 673
4 A. K. Geim et al, Nat. Mater, 6 (2007) 183
5 F. Torrisi et al, ACS Nano, 6 (2012) 2992
6 C.R. Dean et al, Nat Nano, 5 (2010) 722
7 L. Song et al, Nano Lett, 10 (2010) 320
8 A.S. Mayorov et al, Nano Lett, (2011) 2396
9 J.N. Coleman et al, Science, 331 (2011) 568
10 K.S. Novoselov et al, PNAS, 102 (2005) 10451
11 F. Withers et al, Nano Lett, 14 (2014) 3987
12 M. N. Nadagouda et al, ASC Biomac, 8, (2007) 2762
9:00 AM - EE9.23
Unusual Electron Emission from Ag/WSe2 Interfaces
Christian Pettenkofer 1
1Helmholtz-Zentrum Berlin Berlin Germany
Show AbstractAg is deposited by van der Waals epitaxy on the WSe2 basal plane. Despite the large lattice mismatch Ag grows with its own lattice constant as obseved by a clean LEED pattern. The films are of very high quality as the S1 Shockley state is observed in normal emission by UPS. As the system forms a Schottky diode a surface photo voltage is generated at the interface. By using NUV light the observation of up to three emissions is possible which are attributed to the Shockley state followed by emissions out of image potential states. The image potential states are only visible for a clustered film before the a continous Ag film is formed. The emission is explained by the local potential distributions at steps of the Ag clusters. So far the effect has been observed for Cu, Au and Pd clusters on various substrates.
9:00 AM - EE9.24
Graphene/BNNS/Metal Heterostructures for Next Generation 2D Electronics
Muhammad Sajjad 1 Vladmir Makarov 1 Frank Mendoza 1 Ali Aldalbahi 2 Brad R. Weiner 1 3 Gerardo Morell 1 3
1Institute of Functional Nanomaterials, University of Puerto Rico San Juan United States Minor Outlying Islands2King Saud University Riyadh Saudi Arabia3University of Puerto Rico San Juan United States
Show AbstractFreestanding graphene shows unique and outstanding mechanical and electronic properties. However, once graphene is in close contact with the surface of a substrate, its physical properties change due to lattice mismatch and substrate-induced perturbations. This is one of the reasons why graphene has not yet achieved immense progress in device technology. In this presentation, we will address this issue and a possible solution. To stabilize graphene on a substrate surface in order to retain its intrinsic properties, we fabricated graphene heterostructures with boron nitride nanosheets (BNNS), a material of similar lattice parameter and identical crystal structure to that of graphene. We fabricated graphene/BNNS (G/BNNS) heterostructures in two sequences; 1) direct synthesis of graphene on BNNS, 2) graphene and BNNS prepared individually on copper foils and mechanically attached. Before fabricating the heterostructures, the layer structure and crystal quality of individual materials were carefully characterized by SEM, TEM, HRTEM, EDX and Raman mapping. The physical properties of the materials were analyzed broadly by preparing heterostructures on different conducting and non-conducting materials (i.e, G/SiO2, G/BNNS/SiO2, G/BNNS/Metal). Heterostructures prepared on metallic substrates showed sheet conductivities two orders of magnitude higher that the metal alone indicating that G/BNNS/Metal heterostructures are excellent materials for next generation 2D electronics.
9:00 AM - EE9.26
Single-Particle and Ensemble Photoluminescence and Polarization Properties of Colloidal Single-Layer Transition Metal Dichalcogenide Quantum Dots
Ho Jin 1 Sohee Jeong 2 Jinwoo Cheon 2 Dong Hee Son 1
1Texas Aamp;M University College Station United States2Yonsei University Seoul Korea (the Republic of)
Show AbstractWe investigated the single-particle and ensemble photoluminescence and polarization properties of the colloidal single-layer transition metal dichalcogenide (TMDC) quantum dots with lateral dimension of 2.5-4.5 nm experiencing an additional lateral quantum confinement compared to the larger-area single-layer TMDC sheets. In this work, colloidal single-layer quantum dots of WSe2 and MoS2 were prepared via wet chemical route producing the samples dispersed freely in polar solvent medium. Polarization-dependent photoluminescence (PL) and PL excitation (PLE) spectra of the isolated WSe2 quantum dots were obtained from the sample drop-casted on a quartz prism using attenuated total internal reflection scheme for the excitation. The PL and PLE spectra of the isolated TMDC quantum dots showed significantly more blue-shifted and broader PL bandwidth and the larger Stokes shift compared to the larger-area counterpart, while the direction of the optical transition dipole remained in-plane at the peak of PLE. The polarization angle of both the absorption and emission under linearly-polarized excitation were identical in single-particle and ensemble measurements for both WSe2 and MoS2 quantum dots. This suggests that the absorbing and emitting states have the same origin, i.e., excitonic transition, and defect or trap states are less likely to be involved in the emission process despite potentially higher defect density in nanometer-scale colloidal TMDC quantum dots. Excitation with circularly polarized light did not resulted in circularly polarized emission, in contrast to the larger-area counterpart, indicating the loss of valley-polarization in nanometer-scale colloidal TMDC quantum dots. The similarities and disparities of the PL properties between the single-layer quantum dots and larger-area counterparts associated with their structural differences will be discussed based on DFT electronic structure calculation.
9:00 AM - EE9.27
First Electronic-Grade PVD Synthesis of Two-Dimensional High-k Dielectric MoO3
Eve Hanson 1 Karl Hujsak 1 Vinayak Dravid 1
1Northwestern University Evanston United States
Show AbstractWe present a new electronic-grade synthesis of the high-k dielectric MoO3 in two-dimensional (2D) form. MoO3 is a wide-bandgap semiconductor that can be degenerately doped to be a metallic conductor. Bulk MoO3 has a dielectric constant greater than 500, while the conductive substoichiometric form MoO3-x has a remarkably high work function, greater than 1 eV larger than all elemental metals. We report the first single-step vapor transport synthesis of MoO3 in 2D form, with wide-area nanosheets down to bilayer thickness. We present extensive characterization of high quality 2D MoO3 nanosheets, only previously obtainable through either low-yield mechanical exfoliation, or defect prone chemical exfoliation. We also present characterization of the substoichiometric MoO3-x form, as well as the doping mechanism. Groups have recently used MoO3-x as a contact layer to both hole-dope and produce better contact interfaces for Transition Metal Dichalcogenide (TMDC) field effect transistors. Our work provides a tunable material to potentially serve as both the 2D dielectric layer and contact layer in fully 2D heterostructure electronics.
9:00 AM - EE9.28
Two-Dimensional Titanium Trisulfide (TiS3) for Electronics Applications
Alexey Lipatov 1 Peter Wilson 1 Mikhail Shekhirev 1 Jacob D Teeter 1 Ross Netusil 1 Alexander Sinitskii 1
1University of Nebraska - Lincoln Lincoln United States
Show AbstractMany of the actively studied 2D materials belong to the family of transition metal chalcogenides (TMCs). A large number of TMCs in bulk form have a layered structure with weak interlayer van der Waals interactions. The layers of TMCs can be exfoliated by different approaches to produce mono- and few-layer sheets that can be used for electrical and optical measurements. So far, the experimental studies have mostly focused on TMCs with MX2 composition (M = Mo, W; X is a chalcogen), such as MoS2, MoSe2, WS2 and WSe2. However, the TMC family is very rich and contains many other layered materials with interesting properties that received limited attention from the researchers. One of such TMC materials is titanium trisulfide (TiS3). TiS3 is a promising layered semiconductor material. According to the recent theoretical study, in a certain crystallographic direction a monolayer of TiS3 is expected to have higher electron mobility than a single layer of MoS2.
Several-mm-long TiS3 whiskers can be conveniently grown by the direct reaction of titanium and sulfur. In this study, we exfoliated these whiskers using the adhesive tape approach and fabricated few-layer TiS3 field-effect transistors (FETs). The TiS3 FETs showed an n-type electronic transport with room-temperature field-effect mobilities of 18-24 cm2V-1s-1 and ON/OFF ratios up to 300. We demonstrate that TiS3 is compatible with the conventional atomic layer deposition (ALD) procedure for Al2O3. ALD of alumina on TiS3 FETs resulted in mobility increase up to 43 cm2V-1s-1, ON/OFF ratios up to 7000, and subthreshold swing decrease from 19.1-44.3 to 3.4-4.8 V/dec. This study shows that TiS3 is a competitive electronic material in the family of two-dimensional (2D) transition metal chalcogenides and can be considered for emerging device applications.
9:00 AM - EE9.29
Direct Growth of MoS2 and WS2 Thin Films and Heterostructures on Arbitrary Substrates: Structural, Electrical and Optoelectronic Properties
Sanjay Behura 1 Donovan Briggs 1 Phong Nguyen 1 Leonardo Anderson 1 Kai-Chih Chang 1 Fayyazul Hassan 1 Vikas Berry 1
1Univ of Illinois-Chicago Chicago United States
Show AbstractAtomically thin transition metal dichalcogenides, MX2 (M = Mo, W and X = S, Se) and their heterostructures are attractive because of their ultrathin structure and inimitable electronic band structures with unique functionalities: indirect-to-direct bandgap transition, bandgap modulation, the large excitonic effect, piezoelectricity and valleytronics. Moreover, the MX2 heterostructures with optical bandgap in the near-infrared to visible spectral range can exhibit extremely strong light-matter interactions. However, the large-scale growth of monolayer MX2 films and their heterostructures with uniform and continuous coverage is still a challenge which inhibits further integration into integrated circuitry. Here, we report a scalable, reproducible and single-step vapor phase chemical growth process for the fabrications of large-area molybdenum disulfide (MoS2) and tungsten disulfide (WS2) films (and heterostructures) on arbitrary substrates (SiO2/Si; p and n-type Si) under di#64256;erent growth temperatures with various precursors (such as metal oxides and carbonyls: MO3 and M(CO)6). Film composition are confirmed via X-ray photoelectron spectroscopy and film thickness are determined using Raman and atomic force microscopy. Electronic and optoelectronic properties of these monolayers and heterostructures will also be presented.
EE7: Electrical and Optical Properties of 2D Materials I
Session Chairs
Wednesday AM, December 02, 2015
Hynes, Level 2, Room 210
9:15 AM - *EE7.01
Integration Challenges and Opportunities for 2D Materials
Mark C. Hersam 1
1Northwestern University Evanston United States
Show AbstractTwo-dimensional materials have emerged as promising candidates for next-generation electronic and optoelectronic applications. As is common for new materials, much of the early work has focused on measuring and optimizing intrinsic properties on small samples (e.g., micromechanically exfoliated flakes) under idealized conditions (e.g., vacuum and/or cryogenic temperature environments). However, real-world devices and systems inevitably require large-area samples that are integrated with dielectrics, contacts, and other semiconductors at standard temperature and pressure conditions. These requirements are particularly challenging to realize for two-dimensional materials since their properties are highly sensitive to surface chemistry, defects, and the surrounding environment. This talk will thus explore methods for improving the uniformity of solution-processed two-dimensional materials with an eye toward realizing scalable processing of large-area thin-films. For example, density gradient ultracentrifugation allows the solution-based isolation of transition metal dichalcogenides (e.g., MoS2, WS2, MoSe2, and WSe2) and boron nitride with homogeneous thickness down to the single-layer level. Similarly, two-dimensional black phosphorus is isolated in solution with the resulting flakes showing field-effect transistor mobilities and on/off ratios that are comparable to micromechanically exfoliated flakes. In addition to solution processing, this talk will also report on the integration of two-dimensional materials with dielectrics and other semiconductors. In particular, atomic layer deposition of dielectrics on two-dimensional black phosphorus suppresses ambient degradation, thereby preserving electronic properties in field-effect transistors at atmospheric pressure conditions. Finally, p-type semiconducting carbon nanotube thin films are combined with n-type single-layer MoS2 to form p-n heterojunction diodes. The atomically thin nature of single-layer MoS2 implies that an applied gate bias can electrostatically modulate the doping on both sides of the p-n heterojunction concurrently, thereby providing five orders of magnitude gate-tunability over the diode rectification ratio in addition to unprecedented anti-ambipolar behavior when operated as a three-terminal device.
9:45 AM - EE7.02
Synthesis of Patterned Arrays of Lateral Heterojunctions within Two-Dimensional Monolayer Crystals
Masoud Mahjouri-Samani 1 Ming-Wei Lin 1 Kai Wang 1 Andy R Lupini 1 Jaekwang Lee 1 Leonardo A Basile 2 Abdelaziz Boulesbaa 1 Christopher Rouleau 1 Alexander Puretzky 1 Ilia Ivanov 1 Kai Xiao 1 Mina Yoon 1 David Geohegan 1
1Oak Ridge National Laboratory Oak Ridge United States2Escuela Politeacute;cnica Nacional Quito Ecuador
Show AbstractTwo-dimensional (2D) layered transitional metal dichalcogenides (TMDs) are potential building blocks for the next generation of electronics and optoelectronics. However, the next challenge for 2D ultrathin integrated circuits is the controllable and scalable formation of semiconductor heterojunctions in lateral dimensions. Lithographic patterning and compatible synthesis approaches are required for high density integration of semiconductor heterojunctions into device structures.
In this work, we describe a facile and scalable process for the formation of patterned arrays of lateral heterojunctions between 2D layered semiconductors, MoSe2/MoS2 and WSe2/WS2, within a single monolayer crystal. Conventional e-beam lithography and deposition processes, well-developed and scalable processes in the semiconducting industry, are used to mask monolayer MoSe2 and WSe2 crystals with desired patterns, and the exposed regions are then selectively converted to MoS2 and WS2 by pulsed laser vaporization of sulfur. This approach provides a unique capability for controllable and selective conversion of crystals in predefined locations. The formation of heterojunctions and the boundaries between the pristine and converted regions are clearly observed by Raman and photoluminescence spectroscopy, atomic resolution scanning transmission electron microscopy (STEM), and device characterization. Time-resolved, in situ spectroscopic diagnostics are employed to understand and control both the processing conditions and the selective conversion process. This patterning and selective conversion process demonstrated here for semiconductor heterojunctions appears to be a powerful technique that could be extended to form other metallic, insulating, and semiconducting regions within 2D materials required for ultrathin electronics.
Research was supported by the U.S. Department of Energy, Office of Science, Basic Energy Sciences (BES), Materials Sciences and Engineering Division and performed in part as a user project at the Center for Nanophase Materials Sciences, which is a DOE Office of Science User Facility.
10:00 AM - EE7.03
2D or not 2D? Schottky Barriers and Band Offsets of Transition Metal Dichalcogenides
John Robertson 1 Yuzheng Guo 1
1Cambridge Univ Cambridge United Kingdom
Show AbstractTransition metal dichalcogenides (TMDs) are the archetypal 2D semiconductor system, which could be used in various contexts such as tunnel FETs. Their electronic devices are limited by the electrical contacts, which relate to Schottky barrier heights (SBHs), whereas some applications like tunnel FETs depend on their band offsets. Based on extensive DFT supercell calculations of TMD heterojunctions and TMD-metal systems, we find that band offsets between TMD layers behave like true 2D systems because of the van der Waals interlayer bonding. But the SBHs behave like 3D systems because the metal-TMD bonding is strong and not van der Waals. Thus, unlike standard 3D semiconductors, there is a strong difference in behaviour between Schottky barriers and heterojunction band offsets in TMDs.
10:15 AM - EE7.04
Native Cesium Doping and Metal Insulator Transition in a MoS2 Nanosheet
Filippo Fabbri 1 Enzo Rotunno 1 Eugenio Cinquanta 2 Alessio Lamperti 2 Daniel Kaplan 3 Laura Lazzarini 1 Massimo Longo 2 Marco Fanciulli 2 4 Marco Bernasconi 4 Venkataraman Swaminathan 3 Giancarlo Salviati 1 Alessandro Molle 2
1IMEM-CNR Parma Italy2IMM-CNR Agrate Brianza (MI) Italy3U.S. Army RDECOM-ARDEC Picatinny United States4Universitagrave; di Milano-Bicocca Milano Italy
Show AbstractMolybdenum disulfide (MoS2) is one of the most attractive emerging research materials for nanotechnology [1][2]. Belonging to the class of layered transition metal dichalchogenides, it can be reduced to a stable single layer, in the process, changing its semiconducting character from an indirect to direct band-gap[3]. This makes it complementary to graphene in terms of applications to nanoelectronics where the presence of a bandgap allows for an effective charge commutation and hence for logic operation and optoelectronic activity[4]. These features along with the intrinsic n-type carrier transport have made MoS2 nanosheets outstanding candidates to engineer ultimately scaled field effect transistors with promising prospects for the next technological advances in the semiconductor roadmap[5].
In this work, we elucidate this aspect by examining the chemical composition of rheological MoS2 multilayer nanosheets mechanically exfoliated on SiO2/Si substrates by demonstrating the native incorporation of cesium atoms in the MoS2 crystal. Since Cs belongs to the alkali metals, the incorporation of Cs atoms in the MoS2 layered structure may effectively act as an electron donor dopant upon activation of a charge exchange with the conduction band of MoS2. Cs doping of MoS2 nanosheets is assessed by energy dispersed X-ray spectroscopy and secondary ion mass spectroscopy. In addition, electrically detected magnetic resonance spectroscopy of paramagnetic centers in a MoS2 crystal reveals an anisotropic signal related to a Cs impurity and two other smaller signals associated with S and Mo vacancies. This effect is supported by ab-initio calculations and experimental observations thereby clarifying the n-type character of commercially supplied MoS2 crystals.From an extensive compositional analysis of natural MoS2 crystals we show that Cs impurities are incorporated to an amount of 1% throughout the whole MoS2 crystal. Based on ab initio calculations, Cs atoms can be stabilized in the MoS2 when intercalated in between MoS2 planes and in concentration of 1% they prove to generate a doping level in proximity of the MoS2 conduction band edge. Not only does the intrinsic doping confer the well-know n-type character to MoS2, but also Cs impurities are associated with an increase in the carrier concentration inside the MoS2 multilayer field effect transistor. In this respect, the native Cs atoms effectively behave as a doping species which, in a certain range of gate bias and forward in-channel field, convert the MoS2 from an insulating state to a metallic one as inferred from the temperature dependent electrical transport in a MoS2 multilayer nanosheet field effect transistors.
[1] Q. H. Wang, et al., Nat. Nanotech. 7, 699 (2012);.
[2] D. Jariwala, et al., ACS Nano 8, 1102 (2014)
[3] K. F. Mak et al., Phys. Rev. Lett. 105, 136805 (2010)
[4] A. Radisavljevic, et al. Nat. Nanotech. 6, 147 (2011)
[5] H. Liu, et al., ACS Nano 6, 8563 (2012)
10:30 AM - EE7.05
MoS2-BaTiO3 Ferroelectric Tunnel Junctions
Tao Li 1 Alexey Lipatov 1 Pankaj Sharma 2 Hyungwoo Lee 3 Chang-Beom Eom 3 Alexander Sinitskii 1 Alexei Gruverman 1
1University of Nebraska-Lincoln Lincoln United States2University of New South Wales Sydney Australia3University of Wisconsin-Madison Madison United States
Show AbstractMolybdenum disulphide (MoS2) is a layered material, which belongs to the group of transition metal dichalcogenides. Its band structures can be tuned by external bias, mechanical force, and illumination. The tunable bandgap makes MoS2 a potential candidate for a variety of applications, such as field effect transistors and optoelectronic devices.
Ferroelectric tunnel junctions (FTJs) are currently considered as promising candidates for the next-generation non-volatile memory elements characterized by low operation energy and non-destructive readout ability. A typical FTJ comprises a ferroelectric tunnel barrier (FTB) with a thickness of several nanometers that is sandwiched between two electrodes with different work functions. The physical basis of the FTJ operation is the tunneling electroresistance (TER) effect - modulation of the electrical resistance by switching the polarization states of the FTB. The interfacial conditions between electrodes and ferroelectrics, such as the screening length, the interface terminations and the pinned dipoles, strongly affect the performance of FTJs. The ideal combination of ferroelectric/electrode materials still needs to be identified to enhance the TER magnitude and endurance for device applications. Due to its rich physical and chemical properties, using MoS2 as the top electrode in FTJs may result in improved and tunable TER.
In this work, we have investigated the polarization switching mechanisms of MoS2/BaTiO3/SrRuO3 tunnel junction using the Piezoresponse Force Microscopy (PFM) technique. The polarization of BaTiO3 can be switched by electric pulses and remain stable when observed on MoS2 electrode. The exact polarization state and switched area of the BTO layer have a dramatic impact on the ON/OFF resistance ratio.
10:45 AM - EE7.06
Toward Low-Voltage Operation in 2D Transistors Using Integrated Ferroelectric Polymers with MoS2
Felicia Ann McGuire 1 Zhihui Cheng 1 Aaron D. Franklin 1 2
1Duke University Durham United States2Duke University Durham United States
Show AbstractOver the years, ferroelectric (Fe) materials have been used almost exclusively in memory devices due to their spontaneous polarization resulting in a large intrinsic hysteresis. Recently, these materials have been incorporated into the gate stack of field-effect transistors (FETs) in series with a standard dielectric material, creating the possibility of a “negative capacitance” (NC) effect that stems from the uniform polarization of the dipoles in the Fe material under an applied electric field. Such a NC effect has the ability to decrease the subthreshold swing (SS) of the FET—the key indicator of low-voltage operation—well below the thermal limit of 60 mV/decade. Polymer poly(vinylidene difluoride - trifluoroethylene), P(VDF-TrFE), is a polymer that can be processed in solution-phase and has displayed strong ferroelectric behavior, including the reduction of the subthreshold swing in traditional metal-oxide-semiconductor FETs (MOSFETs) with silicon channels. Despite this, the bulk channels of the MOSFETs do not allow the full benefits of NC and related effects to be realized, causing the on-state performance of the device to suffer and the low SS only to extend for a portion of the transistor off-state.
In this work, P(VDF-TrFE) is applied to nanomaterial-based 2D FETs with molybdenum disulfide (MoS2) channels. This 2D NC-FET has potential for a hysteresis-free, ultra-low voltage transistor, where the atomically thin 2D channel can take full advantage of the any generated NC effect as it will yield a constant capacitance (unlike the varying channel capacitance observed in bulk materials used in previous NC-FETs). Fabrication began with substrate-gated (p++ Si with 10nm SiO2) MoS2 FETs that were electrically characterized prior to applying any top-gate materials. The devices were then capped with 12 nm Al2O3 using atomic layer deposition and spin-coated with P(VDF-TrFE). The Fe polymer required highly customized processes to be developed for constructing a top-gate to the Fe-high-k gate stack due to the sensitivity of the polymer to standard solvents and etches—these custom processes will be reviewed in this talk. The top-gated 2D NC-FETs exhibited approximately a 10% reduction in on- and off-currents for channel lengths of 200 nm compared to the back-gated MoS2 FETs, with a negative threshold voltage shift of several volts. The SS in the devices showed improvement, but optimization of the Fe & dielectric layer thicknesses and material choice are necessary to more fully realize the NC effect in this advanced gate stack. Further optimizations to this approach will be presented. Overall, this initial study of a ferroelectric material integrated into the gate stack of a 2D FET opens the way for further consideration of how the NC effect can be applied to low-dimensional nanomaterials to enable ultra-low voltage transistors.
11:30 AM - *EE7.07
Coloring, Stitching, and Twisting for Atomically Thin Circuitry
Jiwoong Park 1
1Cornell University Ithaca United States
Show Abstract2D layered materials are like colored papers: they can be glued, stacked, cut and folded to form integrated devices with atomic thickness. In this talk, I will discuss how different 2D materials can be grown with distinct electrical and optical properties (coloring), how they can be connected laterally to form pattered circuits (stitching) and how their interaction with light can be designed by controlling the interlayer rotation and the valley degree of freedom (twisting).
12:00 PM - EE7.08
Charge Transfer in Monolayer MoS2/Crystalline Ge Heterostructure
Yung-Chen Lin 1 Bilgin Ismail 1 2 Towfiq Ahmed 1 Aditya D Mohite 1 Swastik Kar 2 Jianxin Zhu 1 Jinkyoung Yoo 1
1Los Alamos National Laboratory Los Alamos United States2Northeastern University Boston United States
Show AbstractControlling physical properties of emerging two-dimensional atomically thin materials (2D-ATMs) such as grapehene, monolayer MoS2, and WS2 has been a crucial topic in nanoscience based on 2D-ATMS. Though there have been remarkable progress revealing unexpected characteristics of 2D-ATMs, doping of 2D-ATMs has still been far away from ‘on-demand&’ control in wide range. Heterostructuring can be a suitable method to overcome the current limitation of 2D-ATMs. However, 2D-ATMs&’ heterostructures have been composed of 2D-ATMs, of which materials preparation is still immature.
Here, we present preparation and characterizations of the heterostructure composed of conventional semiconductor (Germanium) and 2D-ATM (monolayer MoS2). Ge thin films were grown on monolayer MoS2 via low-pressure chemical vapor deposition. The structural characterizations with cross-sectional transmission electron microscopy confirmed that the grown Ge thin film is single crystalline. The electrical characteristics of monolayer MoS2 were investigated with and without Ge thin film. The electrical conductivity type of monolayer MoS2 is converted from n-type to p-type through Ge thin film growth. The origin of the conductivity type conversion was studied by density functional theory. According to the theoretical calculation, there is charge transfer at the interface between monolayer MoS2 and Ge.
Moreoever, the carrier transport characteristics through the Ge/MoS2 heterojunction will be discussed.
12:15 PM - EE7.09
Threshold Switching in Two-Dimensional Charge Density Wave Material
Guanxiong Liu 1 Timothy Pope 2 Tina Salguero 2 Alexander A. Balandin 1
1Univ of California-Riverside Riverside United States2University of Georgia Athens United States
Show AbstractTwo-Dimensional materials such as graphene and layered TMDC have been demonstrated to show great potential in many aspects of electronic applications. Thinning down the material to its atomic limit opens new horizons to explore novel physical phenomenon that are not present in its bulk form. It has been demonstrated that in layered charge density wave materials, the phase transition between different charge density wave phases can be dramatically changed as the thickness reduces from bulk to atomic thin [1-3]. In this presentation, we will show the fabrication and characterization of thin film 1T-TaS2 with thickness of 6-9 nm. It is well known that the thin film 1T-TaS2 is easily oxidized in open air. To make high quality thin film sample, we protect the material by capping a layer of h-BN right after the exfoliation of 1T-TaS2. The device capped by h-BN shows very good stability in temperature from 77K to 450K and last for months. The characterization is carried by cryostat probe station. We find that the phase transition from incommensurate charge density wave state (ICCDW) to nearly commensurate charge density wave state (NCCDW) is preserved at 355K, while the transition from NCCDW to commensurate charge density wave state (CCDW) disappeared, which occurs around 180K in bulk. By sweeping the lateral voltage, the current in 1T-TaS2 experiences a sudden increase by several times at certain critical electric field (E1). This sudden increase of conductivity corresponds to the insulator-to-metal transition (IMT) in 1T-TaS2. When sweeping the voltage back to zero, the current experience another sudden drop at critical field E2 lower than E1. This well-defined threshold switching behavior is well preserved from 77K to even above room temperature, and disappears when exceeding the NCCDW to ICCDW transition temperature at 355K. The critical field is inversely proportional to the temperature. Owing to the high quality of h-BN capped device, the threshold switching is pronounced even above room temperature, which, according to our knowledge, is demonstrated for the first time. The threshold switching with hysteresis in 1T-TaS2 is useful function in various electronic applications such as dynamic random access memory and memristor system. This work was supported by NSF EFRI 2-DARE project: Novel Switching Phenomena in Atomic MX2 Heterostructures for Multifunctional Applications (NSF 005400).
[1] P. Goli, et al, Nano Letter 12, 5941 (2012)
[2] R. Samnakay, et al, Nano Letter 15, 2965 (2015)
[3] Y. Yu, et al, Nat. Nanotech. 10, 270 (2015)
12:30 PM - EE7.10
Single- and Few-Layer Metallic Transition Metal Dichalcogenides: Growth, Optical and Electronic Transport Properties
Sihan Zhao 1 Takato Hotta 1 Takashi Koretsune 2 Kenji Watanabe 3 Takashi Taniguchi 3 Hisanori Shinohara 1 Ryo Kitaura 1
1Nagoya Univ Nagoya Japan2Center for Emergent Matter Science (CEMS), RIKEN Wako Japan3National Institute for Materials Science (NIMS) Namiki Japan
Show AbstractThe recent discovery of superconductivity in two-dimensional (2D) systems has provided great research impacts in both communities of superconductivity and 2D materials1-2. The discovery has broken through the well-accepted idea that the 2D superconductivity has been considered to be susceptible to quantum fluctuations, expanding the research on superconductors to various 2D materials. Sample preparation, however, has been the serious bottle-neck for exploration of properties of 2D metals, which situation is in stark contrast to the well-studied 2D layered semiconductors. We report here the first successful growth of 2D metallic transition metal dichalcogenides (TMDCs), NbS2 and NbSe2, by respective chemical vapor deposition (CVD) and molecular beam epitaxy (MBE) methods, where direct growths of monolayer and few-layer-thin of NbS2 and NbSe2 on atomically flat hexagonal boron nitride (hBN) are performed. The key to the successful growth of ultrathin NbS2 and NbSe2 can be summarized by the following two factors: (1) the precise tuning of growth conditions such as supply rates of sources and temperature (2) the utilization of atomically flat hBN as growth substrates.
Optical and electronic properties are investigated in the so-grown samples. Raman spectroscopy, optical transmission imaging are performed for the detailed optical characterization of 2D NbS2 and NbSe2, providing the basis for layer-number-dependent structure identification. The transport measurements on thin-layered samples with different thickness show that NbS2 and NbSe2 with thickness down to 2D limit are still metal, being consistent with DFT-based calculations3. The possibility of exciton formation and superconductivity in this kind of 2D metallic sheets will also be discussed in the presentation. The current study opens up new synthetic routes for controllable growth of 2D layered metallic materials and thus offers new fundamental and technological pathways for the research of metallic 2D systems, which may lead to finding novel 2D superconductors and underlying new physics.
[1] Zhang, T. et al. Superconductivity in one-atomic-layer metal films grown on Si(111). Nature Phys. 6, 104-108 (2010).
[2] Ge, J. et al. Superconductivity above 100 K in single-layer FeSe films on doped SrTiO3. Nature Mater. 14, 285-289 (2015).
[3] Kuc, A., Zibouche, N. & Heine, T. Influence of quantum confinement on the electronic structure of the transition metal sulfide TS2. Phys. Rev. B 83, 155413 (2011).
12:45 PM - EE7.11
Piezoresistivity and Strain-Induced Band Gap Tuning in Atomically Thin MoS2
Sajedeh Manzeli 1 Adrien Victor Allain 1 Amirhossein Ghadimi 1 Andras Kis 1
1Eacute;cole Polytechnique Feacute;deacute;rale de Lausanne (EPFL) Lausanne Switzerland
Show AbstractContinuous tuning of material properties is highly desirable for a wide range of applications, with strain engineering being a promising way of achieving it. The tuning range is however limited in conventional bulk materials which can suffer from plasticity and low fracture limit due to the presence of defects and dislocations1. Atomically thin membranes such as MoS2, on the other hand, exhibit high young&’s modulus and fracture strength2 which makes them viable candidates for modifying their properties via strain3. The bandgap of MoS2 is predicted to be highly strain-tunable4 which results in a modulation of its electrical conductivity through the piezoresistive effect5. This coupling between electrical and mechanical properties makes MoS2 a very promising material for nanoelectromechanical systems (NEMS).
Here we incorporate monolayer, bilayer and trilayer MoS2 in a nanoelectromechanical membrane configuration. Strain-induced bandgap tuning is detected via electrical conductivity measurements and the emergence of piezoresistive effect in MoS2 is demonstrated. We observe a continuous and reversible bandgap modulation in atomically thin MoS2 membranes with a thickness dependent modulation rate. Finite element method (FEM) simulations are used to obtain a comprehensive picture of the spatially varying bandgap profile on the membrane and to quantify the rate of bandgap change. The piezoresistive gauge factor is calculated for single layer, bilayer and trilayer MoS2. Our results reveal that atomically thin MoS2 membranes show strong piezoresistive effect which is comparable to the state-of-the-art silicon strain sensors6 and two orders of magnitude higher than graphene strain sensors7.
Our study reveals that similar to CMOS devices8, strain, which can be controlled through device fabrication process, is an effective agent to alter electronic transport properties in MoS2. The developed methodology is generally applicable for other transition metal dichalcogenide semiconductors. Controllable modulation of resistivity in 2D nanomaterials using strain-induced bandgap tuning offers a novel approach for implementing an important class of NEMS transducers, self-sensing resonators, strain sensors, flexible and wearable electronics, tunable photovoltaics and photodetection.
1. Clarke, D. R. 37, 79-142 (Elsevier, 1992).
2. Bertolazzi, S., Brivio, J. & Kis, A. ACS Nano5, 9703-9709 (2011).
3. Wang, Q. H., Kalantar-Zadeh, K., Kis, A., Coleman, J. N. & Strano, M. S. Nat. Nanotechnol.7, 699-712 (2012).
4. Johari, P. & Shenoy, V. B. ACS Nano6, 5449-5456 (2012).
5. Ghorbani-Asl, M., Borini, S., Kuc, A. & Heine, T. Phys. Rev. B87, 235434 (2013).
6. Kanda, Y. Sens. Actuators Phys.28, 83-91 (1991).
7. Huang, M., Pascal, T. A., Kim, H., Goddard, W. A. & Greer, J. R. Nano Lett.11, 1241-1246 (2011).
8. Chidambaram, P. R., Bowen, C., Chakravarthi, S., Machala, C. & Wise, R. IEEE Trans. 53, 944-964 (2006).
Symposium Organizers
Wonbong Choi, University of North Texas
Albert Davydov, National Institute of Standards and Technology
Young Hee Lee, Sungkyunkwan University
Jud Ready, Georgia Tech Research Institute
Symposium Support
Graphene Square Inc.
Rocky Mountain Vacuum Tech., Inc.
EE11: Electrical and Optical Properties of 2D Materials II
Session Chairs
James Hone
Albert Davydov
Thursday PM, December 03, 2015
Hynes, Level 2, Room 210
2:30 AM - *EE11.01
Phosphorene and Silicene: Complexity and Opportunity in Buckled Atomic Sheets
Li Tao 1 Weinan Zhu 1 Deji Akinwande 1
1Univ of Texas-Austin Austin United States
Show AbstractTwo-dimensional (2D) atomic sheets yield collective properties of high mechanical flexibility, ideal electrical control, maximum optical transparency and large surface-to-volume ratio, which hold promise for advanced optoelectronics, sensors and flexible technology. This work explores two newly emerging 2D materials, silicene and phosphorene (the Si and P equivalent to graphene) and their challenges and opportunities for new concepts. Silicene possesses a buckled honeycomb lattice with mixed sp2-sp3 hybridization. Owing to its predicted Dirac band structure and its buckled nature, silicene has the potential to be a widely tunable 2D material for future innovative nanoelectronics, where external fields and surface interactions can be exploited to influence fundamental properties and enable new physics such as topological insulators. The debut of silicene transistor confirms ambipolar transport behavior in atomically thin Si with greater gate modulation than graphene, indicating potential device reach beyond graphene. On the other hand, phosphorene exhibits high mobility and tunable direct bandgap even on plastic substrates, making it the most suitable contemporary 2D semiconductor that combines the merits of graphene and transitional metal dichalcogenides. This recent progress on silicene and phosphorene represent a renewed opportunity for future nanoscale and flexible devices.
We acknowledge Army Research Office (ARO) and Office of Naval Research (ONR) for funding support.
3:00 AM - EE11.02
Black Arsenic-Phosphorus: Layered Anisotropic Infrared Semiconductors with Highly Tunable Compositions and Properties
Bilu Liu 1 Marianne Koepf 2 Ahmad Abbas 1 Xiaomu Wang 3 Qiushi Guo 3 Yichen Jia 3 Fengnian Xia 3 Richard Weihrich 4 Frederik Bachhuber 4 Florian Pielnhofer 4 Han Wang 1 Rohan Dhall 1 Steve Cronin 1 Mingyuan Ge 1 Xin Fang 1 Tom Nilges 2 Chongwu Zhou 1 Shengxi Huang 1
1Univ of Southern California Los Angeles United States2Technische Universitauml;t Muuml;nchen Muuml;nchen Germany3Yale University New Haven United States4Universitauml;t Regensburg Regensburg Germany
Show AbstractTwo-dimensional (2D) layered materials with diverse properties have attracted significant interest in the past decade. The layered materials discovered so far have covered a wide, yet discontinuous electromagnetic spectral range from semimetallic graphene, insulating boron nitride, to semiconductors with bandgaps from middle infrared to visible light. Here, we introduce new layered semiconductors, black arsenic-phosphorus (b-AsP), with highly tunable chemical compositions and electronic and optical properties. Transport and infrared absorption studies demonstrate the semiconducting nature of b-AsP with tunable bandgaps, ranging from 0.3 to 0.15 eV. These bandgaps fall into long-wavelength infrared (LWIR) regime and cannot be readily reached by other layered materials. Moreover, polarization-resolved infrared absorption and Raman studies reveal in-plane anisotropic properties of b-AsP. This family of layered b-AsP materials extend the electromagnetic spectra covered by 2D layered materials to the LWIR regime, and may find unique applications for future all 2D layered material based devices.
References.
[1] Liu, B. et al., Advanced Materials, 2015, Accepted.
3:15 AM - EE11.03
First Principles Investigation of Impurity-Limited Charge Carrier Mobility in Mono-Layer Black Phosphorus
Saeed Bohloul 1 Lei Zhang 1 Kui Gong 1 Hong Guo 1
1McGill University Montreacute;al Canada
Show AbstractFew-layer black phosphorus (BP) is considered as a strong candidate for application in semiconductor-based electronic devices due to its direct band gap and high carrier mobility. Although experimental studies predict a reasonably high carrier mobility in this material
current theoretical models, based on phonon scattering and semiclassical approach, lack the inevitable effect of impurity scattering in calculating the mobility of charge carriers in few-layer BP crystal. Therefore, for any practical purposes, it is absolutely essential to develop a transport model incorporating the critical role of impurity scattering for examining the mobility. We present a systematic first
principle study of impurity-limited carrier mobility in mono-layer BP. Our results show a good agreement with
experimental data and make corrections to the theoretical studies by order of magnitudes.
In general, it is shown that impurity scattering is the key effect in determining carrier mobility in few-layer BP.
3:30 AM - EE11.04
Coulomb-Scattering-Limited Anisotropic Mobility of Electrons in Black Phosphorus
Yue Liu 1 Tony Low 1 P. Paul Ruden 1
1University of Minnesota Minneapolis United States
Show AbstractBlack phosphorus is a promising two-dimensional material for nanoelectronic and optoelectronic devices due to its high carrier mobility and direct bandgap. However, the highly anisotropic electronic structure leads to a strong directional dependence of the carrier relaxation time and the mobility. In this work, we calculate the Coulomb-scattering-limited electron mobility within a detailed balance Boltzmann transport model, taking into account the anisotropy of the electronic structure explicitly. We examine the directional dependence, the carrier density dependence, and temperature dependence of the results, and we discuss these phenomena in relation to published experimental data thus far.
3:45 AM - EE11.05
Semiconductor to Metal Transition in Bilayer Phosphorene under Normal Compressive Strain
Aaditya Manjanath 2 1 Atanu Samanta 1 Tribhuwan Pandey 1 Abhishek Kumar Singh 1
1Indian Institute of Science Bangalore India2Indian Institute of Science Bangalore India
Show AbstractPhosphorene, a two-dimensional analog of black phosphorous, has a highly corrugated honeycomb-like structure with one phosphorus atom bonded to three other atoms. It has evoked immense interest due to its high carrier mobilities and a tunable bandgap. So far, tunability has been predicted to be obtained with very high compressive/tensile in-plane strains (sim; ±11% strains respectively), and vertical electric field (sim; 0.5 VÅ-1), which are difficult to achieve experimentally. Here, we show using density functional theory based calculations the possibility of tuning electronic properties by applying normal compressive strain in bilayer phosphorene. A complete and fully reversible semiconductor to metal transition has been observed at sim; 13.35% strain, which can be easily realized experimentally. Furthermore, a direct to indirect bandgap transition has also been observed at sim; 3% strain, which is a signature of unique band-gap modulation pattern in this material. The absence of negative frequencies in phonon spectra over a relatively large window of strain demonstrates the structural integrity of the sheets. The carrier mobilities and effective masses also do not change significantly as a function of strain, keeping the transport properties nearly unchanged. This inherent ease of tunability of electronic properties without affecting the excellent transport properties of phosphorene sheets is expected to pave way for further fundamental research leading to phosphorene-based multi-physics devices.
4:30 AM - EE11.06
Electrical Character of Native Defects in Black Phosphorus
John L Lyons 1 Mark S. Hybertsen 1
1Brookhaven National Laboratory Upton United States
Show AbstractWith direct band gaps and high hole mobilities, multilayer black phosphorus and its counterpart, single-layer phosphorene, have generated significant interest as potential two-dimensional, low-defect materials for fabricating highly scaled transistors [1]. However, controlling the electrical conductivity of this materials system is still a challenge. These materials are known to be p-type as synthesized, but the source of this conductivity is still under debate. Furthermore, although these two-dimensional materials are believed to exhibit low defect densities, the uncontrolled presence of acceptors could have a detrimental effect on device performance.
In this work, we employ first-principles calculations to explore the properties of native defects in black phosphorus, including vacancies, divacancies, and interstitials. We start by exploring how the choice of functional affects the properties of both the bulk electronic structure and also the properties of the defects. We find that screened hybrid functional calculations that include dispersion corrections give an accurate description of the bulk band structure, which is particularly important for this narrow band-gap material. We also find that this approach is best for predicting the properties of the native defects studied here.
Through the calculation of defect formation energies and transition levels, we find that all defects considered behave as acceptors. The only charge states that are stable across the band gap are negative. We further analyze the interaction between these species, and determine their ability to act as sources of p-type conductivity and as species that could compensate other dopants introduced to achieve n-type material. We examine how the transition levels of these acceptors change as a function of interlayer spacing and in going from multi-layer black phosphorus to single-layer phosphorene. Using nudged-elastic band calculations we also determine the activation barriers for diffusion of these acceptor defects in black phosphorus. Finally, we discuss potential schemes for passivating these defects.
Research done at the Center for Functional Nanomaterials, which is a U.S. DOE Office of Science Facility, at Brookhaven National Laboratory under Contract No. DE-SC0012704.
[1] H. Liu et al., ACS Nano 8, 4033 (2014).
4:45 AM - EE11.07
Non Radiative Processes in Phosphorene
Eric Tea 1 Celine Hin 1
1Virginia Tech Blacksburg United States
Show AbstractNovel 2D materials with interesting properties have been synthetized and characterized recently. In particular, unlike graphene, silicene or germanene, phosphorene has attracted a lot of attention because of its native band gap. This property allows for a wide range of applications where semiconductor thin films are traditionally used (e.g. electronics, optoelectronics, thermoelectrics). For each application, the device efficiency depends on how well an electrical current is extracted from the active materials, and depends on a variety of electron scattering process. Some of these scattering processes can considerably improve or degrade device efficiencies. In this contribution we will focus on non-radiative electron-hole pair generation and recombination processes, i.e. Impact Ionization (charge carrier multiplication) and Auger processes (charge carrier recombination). The rate of these processes is calculated from first principles and their effects on device operation are estimated.
5:00 AM - EE11.08
Edge Functionalized Band Gap Tuning of Germanene Nanoribbon
Md Monirojjaman Monshi 1 Sadegh Mehdi Aghaei 1 Irene Calizo 1 2
1Florida International University Miami United States2Florida International University Miami United States
Show AbstractGermanene with its buckled two-dimensional structure exhibits extremely high mobility, massless fermions behavior, and strong spin-orbit coupling which has drawn tremendous research interest for high performance devices. However it has no intrinsic band gap and low structural stability needed for logic and switching devices. Application of perpendicular electric field, surface adsorption, confined to an armchair nanoribbon, and edge functionalization are methods used to open a band gap. Edge functionalization of armchair germanene nanoribbon (AGeNR) could potentially achieve a range of band gaps. We passivate the edge atoms of AGeNRs with hydrogen (-H and -2H) or halogen (- F, -Cl, -Br, -I, -2F,-2Cl, -2br, -2I) atoms. Density functional theory calculations were performed and we found that edge functionalized AGeNR opened up a band gap as small as 0.012 eV when functionalized by -2H and could be as high as 0.7 eV with -2I. Formation energy studies revealed that AGeNR produced a more stable structure with fluorine functionalization. Simulation results suggest that the electronic structure of germanene is similar to graphene and silicene as expected. Energy band gap tuning of AGeNR using edge functionalization may open a new route to integrate germanene in logic and high performance switching devices.
5:30 AM - EE11.10
Piezoelectricity in Two-Dimensional Group III Monochalcogenides
Wenbin Li 1 Ju Li 1
1MIT Cambridge United States
Show AbstractWe find that several layer-phase group-III monochalcogenides, including GaS, GaSe and InSe, are piezoelectric in the monolayer form. First-principles calculations reveal that the piezoelectric coefficients of monolayer GaS, GaSe and InSe (2.06, 2.30, 1.46 pm/V) are on the same order of magnitude as the two-dimensional piezoelectric materials discovered earlier, such as BN and MoS2 monolayers. Our study indicates that strong piezoelectric response can occur in a wide range of two dimensional materials with broken inversion symmetry. The co-existence of piezoelectricity and superior photo-sensitivity in these monochalcogenide monolayer semiconductors enables the integration of electromechanical and optical sensors on the same material platform.
5:45 AM - EE11.11
Analytical HRSTEM Investigation of Layered Chalcogenide Topological Insulators Grown on Several Substrates
Danielle Reifsnyder Hickey 1 Joon Sue Lee 2 Houchen Chang 3 Mingzhong Wu 3 Nitin Samarth 2 Andre Mkhoyan 1
1University of Minnesota Minneapolis United States2Pennsylvania State University University Park United States3Colorado State University Fort Collins United States
Show AbstractTopological insulators (TIs), which are materials that are insulating in the bulk but have metallic surface states, have emerged as promising materials for magnetoelectronic devices. A system of particular interest is the family of bismuth chalcogenides, which is based on the layered tetradymite crystal structure. These materials consist of covalently bonded layers that are joined together by van der Waals bonding. Such structures are notable for their tunable Dirac points and their ability to grow on a variety of substrates, in certain cases with significant lattice mismatch. Therefore, detailed nanoscale and atomic understanding of the growth and possible defects in these films is necessary to improve their formation and physical properties. Here, we present data on topological insulator materials that have been grown by molecular beam epitaxy (MBE) and examined by aberration-corrected scanning transmission electron microscopy (STEM). Additionally, to understand the role of the TI/substrate interface, compositional characterization has been performed using energy dispersive X-ray spectroscopy (EDX) and electron energy-loss spectroscopy (EELS). We further present a comparison of the atomic-level structures of these materials grown on several different substrates.
EE12: Poster Session IV: 2D Materials and Applications
Session Chairs
Thursday PM, December 03, 2015
Hynes, Level 1, Hall B
9:00 AM - EE12.01
Pristine Graphene-Collagen Materials for Biomedical Applications
Cathal J Kearney 1 3 Umar Khan 2 3 Sonia Biccai 2 5 Michael J Sawkins 1 3 Daniel J Kelly 4 3 Jonathan Coleman 2 3 Fergal J O'Brien 1 3 4
1Royal College of Surgeons in Ireland Dublin 2 Ireland2Trinity College Dublin Dublin 2 Ireland3Royal College of Surgeons in Ireland and Trinity College Dublin Dublin 2 Ireland4Trinity College Dublin Dublin 2 Ireland5Universitagrave; Degli Studi di Sassari Sassari Italy
Show AbstractGraphene has been extensively explored due to its exceptional mechanical, electrical and thermal properties. Impure graphene-based biomaterials (e.g., graphene oxide) have been tested in applications such as drug delivery, biosensing and tissue engineering. However, the ability to fabricate defect/impurity free pristine graphene in large quantities has only recently become possible. Herein, we demonstrate the ability to prepare pristine graphene stabilized with biocompatible gelatin, incorporate this graphene into collagen-based films, and explore its potential for biomedical applications.
Graphite was suspended with gelatin, sonicated for 72hrs and multistep centrifugation used to isolate flakes of ~1mm x 500nm and ~3 layers thick (range = 1-10) as measured by TEM. Graphene-collagen films were prepared with graphene wt. % (Gr) ranging from 0-65% by RT drying; some films underwent dehydrothermally crosslinking (DHT) of the collagen.
At Gr<1% a sharp increase in mechanical properties was observed: an 85% increase in Young&’s modulus (E) (Gr = 0.4%) and a 45% increase in ultimate tensile strength (UTS). In rigid plastics addition of nanoparticles typically causes a sharp increase in mechanical properties up to ~1wt% followed by a reduction (due to nanoparticle aggregation). Interestingly, here we report continuous increase in mechanical properties even at very high Gr (65%): ΔE = 230% and ΔUTS = 20%. Following rehydration in cell culture media (24hrs), mechanical property enhancement was still observed (ΔE = 32% at Gr = 1% and increase in strain to failure, ΔS% = 80% at Gr = 1%). For dry DHT samples, E increases ranged from 35% at Gr = 0.4% to 100% at Gr = 65% and UTS increases of 35% at Gr = 1% to 24% at Gr = 32%; when rehydrated, ΔE = 30% at Gr = 1% and 40% at Gr = 16% and UTS increased by: 10% at Gr = 1% to 80% at Gr = 4%. Of note, both E and UTS increased with small additions of graphene, yielding an increase in toughness of composites. Next, rat mesenchymal stem cells were cultured on the films (Gr = 0.5-16%) and compared with collagen only films. The collagen-graphene films had enhanced metabolic activity (>100%; measured by alamar blue) at all Gr and endpoint cell counts were 25 - 50% higher than collagen controls. Porous 3-D scaffolds were fabricated using a freeze-drying technique and successfully used to culture cells. Finally, incorporating graphene into films increased the electrical conductivity by ~100%, although conductivity was low (<2 S/m). By dip coating collagen-graphene films with graphene and coating in collagen, conductivity dramatically increased (120-180 S/m). These films biodegraded over time in a simulated body fluid, decreasing their conductivity until fracture.
This work demonstrates a suitable method to prepare pristine-graphene based biomaterials. These materials show great promise to enhance biomaterial mechanical and electrical properties for applications including tissue engineering, bioactive grafts and biosensing.
9:00 AM - EE12.02
Hybrid Molybdenum Disulfide (MoS2) - Lead Zirconium Titanate (PZT) Memory Devices
Alexey Lipatov 1 Pankaj Sharma 1 Alexei Gruverman 1 Alexander Sinitskii 1
1University of Nebraska - Lincoln Lincoln United States
Show AbstractIn recent years there has been an unprecedented interest in two-dimensional (2D) materials with unique physical and chemical properties that cannot be found in their three-dimensional (3D) counterparts. One of the important advantages of 2D materials is that they can be easily integrated with other 2D materials and functional films, resulting in multilayered structures with new properties. In this study, we fabricated and tested electronic and memory properties of field-effect transistors (FETs) based on a few-layer molybdenum disulphide (MoS2) combined with lead zirconium titanate (Pb(Zr,Ti)O3, PZT) substrate. MoS2-PZT FETs exhibit a large hysteresis of electronic transport with high ON/OFF ratios. We further demonstrate that MoS2-PZT memories have a number of advantages and unique features compared to their graphene-based counterparts as well as commercial ferroelectric random-access memories, such as nondestructive data readout, low operation voltage and wide memory window.
In addition to the technological potential of MoS2-PZT FeFETs, this study also has an important fundamental component. Previously studied graphene-PZT devices exhibited an unusual electronic behavior that was not completely understood. In particular, several groups have reported that graphene-PZT FeFETs exhibit an unusual clockwise hysteresis of electronic transport, in contradiction with counterclockwise polarization dependence of PZT. The origin of this effect was not identified experimentally. We demonstrate that a similar clockwise hysteresis of electronic transport can also be observed for MoS2-PZT FeFETs, suggesting that understanding the nature of this effect is important for devices comprising 2D materials on ferroelectric substrates in general. We investigated how the interplay of polarization and interfacial phenomena affects the electronic behavior and memory characteristics of MoS2-PZT FETs, explain the origin of unusual clockwise hysteresis and experimentally demonstrate a reversed polarization-dependent hysteresis of electronic transport.
9:00 AM - EE12.03
Prediction of Entropy Stabilized Incommensurate Phases in the System MoS2-MoTe2
Benjamin P. Burton 1 Arunima Singh 1
1NIST Gaithersburg United States
Show AbstractA first principles phase diagram calculation, that included van der Waals interactions, was performed for the system (1-X)MoS2-(X)MoTe2. Surprisingly, the predicted phase diagram has at least two ordered solid-solution phases, at X ~ 0.46, even though all calculated formation energies are positive, in a ground-state analysis that examined all configurations with 16 or fewer anion sites. The lower-temperature I-phase is predicted to transform to a higher-temperature I'-phase at T ~ 500K, and I' disorders at T ~730K. Both these transitions are predicted to be first-order, and there are broad miscibility gaps on both sides of the ordered regions. Both the I- and I'-phases are predicted to be incommensurate: I-phase in three dimensions; and I'-phase in two dimensions.
9:00 AM - EE12.04
Controllable Synthesis of MoS2 film on Conducting Substrate by Electrodeposition and Its Application in Hydrogen Evolution Reaction
Yu Lei 1 Kazunori Fujisawa 1 Simin Feng 1 Ana Laura Laura Elias 1 Nestor Perea 1 Mauricio Terrones 1
1Pennsylvania State Univ State College United States
Show AbstractHerein, we present a facile and scalable approach to synthesize large area and transparent MoS2 film on the conducting substrates such as indium tin oxide (ITO) and graphene by electrodeposition and post annealing. To investigate the mechanism of electrodeposition for 2-dimentional material, three deposition methods including galvanostatic, potentiostatic, and cyclic voltammetry are employed and compared by Raman spectroscopy, UV/Vis spectroscopy and Transimission Electron Microscopy (TEM). The thickness of the MoS2 film can be controlled by the deposition voltage and time. In addition, heterostructures of MoS2 film and monolayer graphene can be obtained. Both of the MoS2 films synthesized on ITO and graphene coated ITO show great potential to be used as hydrogen evolution reaction catalyst.
9:00 AM - EE12.05
Direct-Write Lattice Engineering toward MoS2 Stretchable Devices
Travis Edward Shelton 3 1 Michael McConney 1 Christopher Muratore 2 John Bultman 1 3 Jianjun Hu 1 3 Michael L. Jespersen 1 3 Nicholas Glavin 1 Abigail Juhl 1 Michael F. Durstock 1 Rachel Naguy 1 4 Jennifer G. Colborn 1 2 Andrey A. Voevodin 1 5
1Air Force Research Laboratory Dayton United States2University of Dayton Dayton United States3University of Dayton Research Institute Dayton United States4SOCHE Dayton United States5University of North Texas Denton United States
Show AbstractMolybdenum disulphide (MoS2), with Mo atoms sandwiched between S atoms forming S-Mo-S structure provides excellent mechanical properties. Unlike the brittle nature of silicon, the layered structure provides large elastic deformations for potential exploitation of flexible electronics. Although the material provides useful properties for electronic devices, the standard micro fabrication processes hinder the construction on elastomer substrates. Here, we present a direct-write semiconductor technique toward building devices. The process of sputtering and laser annealing is used to produce layered semiconducting MoS2 with minimal heat interaction to the substrate. Printing conductive contacts, sputtering a dielectric, and printing a gate follow this process. This presentation will provide Raman data for the structure of MoS2, XPS data, TEM images, and I-V curves of the architecture.
9:00 AM - EE12.06
Chemically Exfoliated 1T/2H-TMDCs Nanosheet for Wearable Thermoelectric Device
Kwanghyun Kim 1 Jee Ho Park 1 Soo Sang Chae 1 Young Bum Yoo 1 Keun Ho Lee 1 Sun Woong Han 1 Tae hoon Ki 1 Hong Koo Baik 1
1Yonsei Univ Seoul Korea (the Republic of)
Show AbstractWe demonstrated the synthesis and the characterization of chemically exfoliated 2-dimensional Transition metal di-chalcogenides(TMDCs) nanosheet. Atomically thin 2D TMDCs nanosheet exfoliated from layered bulk source has been getting a lot of attention by many researchers since the discovery of graphene due to quantum confinement effect, which occurs unique phenomena such as electrical transport, mechanical strength and optical property. In general, TMDCs nanosheet made by mechanical exfoliation, chemical vapor deposition, and thermally decomposition shows 2H-MX2 structure and its strong covalent bonding M-X has ~Gpa mechanical strength. In addition, large surface area and quantum well effect in 2D TMDCs nanosheet induce the phonon scattering, which results in low thermal conductivity and hish Seebeck coefficient. Therefore, 2D TMDCs nanosheet has been emerging as a new TE materials. Solution-processed chemical exfoliation method, intercalated Li interacts with M and changes the electronic structure with phase transition into metallic 1T-TMDCs during the intercalation step, so we use the TMDCs nanosheet for the high quality TE materials. Here we fabricated TE module using 1T-TMDCs films (WS2, MoS2, NbSe2). We experimentally obtained result of ~mu;W output power per unit area at 50K. In addition, we carried out the experiment that electrical resistivity for transferred TMDCs film on flexible polymer substrate was consistent during the bending test bending the TMDCs film from 20 mm-bending ratio to 1mm-bending ratio, which means possibility of inorganic-based wearable TE device.
9:00 AM - EE12.07
Growth of Spatially-Arranged Millimeter-Size Single-Crystal Graphene on Thin Cu Film
Benjamin Huet 1 Jean-Pierre Raskin 1
1Univ Catholique-Louvain Louvain-La-Neuve Belgium
Show AbstractChemical vapor deposition (CVD) of graphene on Cu catalyst is the most promising technique to pro- duce large-area single-layer graphene films. Unfortunately, CVD graphene is polycrystalline as it results from nucleation, growth and coalescence of single-crystal graphene domains (also called grains) [1]. Structural defects arising from graphene grain boundaries (GGBs) are known to reduce drastically its excellent elec- trical and mechanical properties [2]. As nucleation sites are randomly distributed over the catalytic surface, it is challenging to predict the exact location of GGBs once graphene domains merged together. The random distribution of GGBs is particularly troublesome considering industrial fabrication of electronic devices arrays and circuits which require a high device-to-device uniformity [3]. The best strategy to reduce the density of GGBs consists in drastically reducing graphene nucleation sites and controlling their positions so that they are able to expand and become large-area graphene domains eventually [4] .
In this study, spatially-arranged large-area single-crystal domains of graphene are obtained by a new CVD-based approach. Graphene growth is performed using a thin Cu film evaporated on a standard 3-inch Si/SiO2 wafers. Prior to CVD, the catalytic substrate is processed by conventional thin film technologies in order to locally grow an oxide layer on the Cu surface. This oxide layer is used as a reversible passivation layer aiming at preventing parasitic graphene nucleation in undesired areas. The non-passivated Cu areas are more prone to produce C active species through methane adsorption and, therefore act as preferential areas for graphene nucleation. Upon graphene growth, hydrogen is introduced in the furnace to enhance graphene growth and simultaneously reduce the passivation layer. The passivation layer thickness gets gradually thinner during the nucleation stage and vanishes completely to allow graphene grains to expand over the passivated areas. The results reveal that CVD parameters have to be adjusted to the pattern defining the non-passived Cu regions in order to prevent parasitic nucleation and bilayers.
Graphene is observed by the means of scanning electron microscopy while the quality of the film is assessed by Raman spectroscopy. Controlling the location of graphene nucleation allows us to predict the location of GGBs which makes the alignment for device fabrication process steps possible and efficient.
[1] Huang, P. Y. et al. Nature469, 389-392 (2011)
[2] Yu, Q. et al. Nature Mater.10, 443-449 (2011)
[3] Zhou, H.et al. Nat. Commun. 4, 2096 (2013)
[4] Wu, W. et al. Adv. Mater. 23, 4898 (2011)
9:00 AM - EE12.08
Hierarchical Architecture of 1D-2D Systems for High Performance Supercapacitors
Joseph Dupars 1 Cody Lebo 1 Kofi Wi Adu 2 3 Ramakrishnan Rajagopalan 4 3 Clive Randell 1 3
1Pennsylvania State University Altoona United States2Penn State - Altoona College Altoona United States3Pennsylvania State University University Park United States4Penn State DuBois DuBois United States
Show AbstractConventional electrodes for electrochemical energy storage and conversion systems (batteries, fuel cells, electrochemical supercapacitors) usually consist of a mixture of active material, electrically conducting material, and a binder to form a paste that is deposited on a metal substrate. The use of emerging one-dimensional (1D) nanostructures such as carbon nanotubes and two-dimensional nanostructures (2D) such as graphene and transition metal dichalcogenides (such as WS2, WSe2, MoS2, MoSe2) have been demonstrated as potential candidates for electrode material in electrochemical energy storage and conversion systems. Most of these nanostructures are produced in a form of powder and the conventional protocols are still being used to process them into electrode materials for these energy systems. Thus, translating the superb properties of these low dimensional systems into macrostructure materials such as membranes continue to pose tremendous challenge. We have recently demonstrated the fabrication of ultra-high purity flexible and binder-free CNT membrane that exhibits high power density ~1040 kW/kg based on the mass of both electrodes and time constant of ~ 15 ms with no degradation in performance even after 10,000 cycles in two-electrode 1M H2SO4 aqueous double layer supercapacitor. Taking advantage of the unique properties of these two low dimensional systems, we present the results on a hierarchical 1D-2D electrode material using the binder-free CNT membrane as a scaffold for monolayers of transition metal dichalcogenides (WS2 and MoS2) for high performance supercapacitors.
9:00 AM - EE12.09
Synthesis of 2D TiO2 Nanostructures and Their Application in Dye-Sensitized Solar Cell
Putao Zhang 1 Wenqin Li 1 Jinmin Wang 1
1Shanghai Second Polytechnic University Shanghai China
Show AbstractTwo-dimensional (2D) TiO2 nanostructures with large surface area (257.3 m2/g) were successfully synthesized by a hydrothermal process. The 2D TiO2 nanostructures and P25 nanoparticles were introduced as scattering layer and underlayer to construct a bi-layer photoanode in a dye-sensitized solar cell (DSSC). The as-prepared DSSC exhibits an enhanced power conversation efficiency (5.14%), which is 23.9% higher than that of pure P25 DSSC (4.15%) and 30.8% higher than pure nanosheets DSSC (3.93%). Electrochemical impedance spectroscopy (EIS) indicate that DSSCs based on 2D TiO2 nanostructures show a longer life time and a larger recombination resistance. The enhanced photovoltaic properties are attributed to the excellent light scattering capability and high capacity for dye adsorption of 2D TiO2 nanostructures, which make them a promising candidate as a scattering layer in high-performance DSSCs.
9:00 AM - EE12.10
Self-Assembly of Integrated Curved and Folded Graphene-Polymer Sensors
Tao Deng 3 ChangKyu Yoon 2 Zewen Liu 3 David H. Gracias 1 2
1Johns Hopkins University Baltimore United States2Johns Hopkins University Baltimore United States3Tsinghua University Beijing China
Show AbstractThe self-folding of three dimensional graphene electrical and optical sensors is discussed. Folding occurs spontaneously on solvent exchange of gradient cross-linked and conditioned SU-8 /graphene bilayer films. Curved and folded microdevices including those with integrated electrodes are assembled and characterized. Specifically, we discuss functionality of these devices in chemical sensing and as photodetectors. Due to their 3D geometries with small form factors and multi-rolled architectures, we anticipate widespread use in sensing, diagnostics, wearables, and energy harvesting.
9:00 AM - EE12.11
Formation of Neural Networks on Micro Patterned Single Layer Graphene
Sandeep Keshavan 1 Shovan Naskar 2 Bruno Torre 1 Alberto Diaspro 1 Laura Cancedda 2 Silvia Dante 1
1Istituto Italiano di Tecnologia Genova Italy2Istituto Italiano di tecnologia Genova Italy
Show Abstract
Single layer Graphene (SLG), an sp2-bonded material made from carbon, has been of interest to scientists since its discovery. Chemical vapour deposition (CVD) grown graphene was transferred onto silicon or glass substrates by wet transfer technique[1]. The quality of devices based on CVD graphene is generally limited by structural defects and chemical contamination introduced during the transfer process. Here, we present a simple and effective approach for the fabrication on SLG patterns by laser micromachining. SLG transferred onto a substrate was ablated using a UV single laser pulse at 248 nm wavelength to obtain a micro scale pattern [2]. The UV ablated SLG surface was used as a template for the ordered growth of cortical neurons. Raman spectroscopy was used to judge the quality of SLG transfer and Kelvin probe force microscopy was employed to characterize the surface of the patterned samples.
Interestingly, by monitoring the in vitro neuronal development on SLG coated with an adhesion factor poly-D-lysine (PDL) we observed that cortical neurons adhered evenly on the substrate, but later they followed the pattern of the single layer graphene region, suggesting a better anchorage and migration of neurons at the PDL coated graphene surface.
Furthermore, we did functional investigations on the efficacy of synaptic transmission on glass substrates , SLG substrates and the micro patterned surfaces on the SLG by recording miniature post synaptic currents (mPSCs) with the help of whole-cell patch-clamp recordings from DIV4 to DIV13.
. In control samples, the frequencies of both Glutamatergic and GABAergic mPSCs were very low between DIV4 and DIV8, indicating scanty synaptogenesis. However, the frequencies of both Glutamatergic and GABAergic mPSCs increased with increasing DIV.
On SLG, synaptogenesis was scanty between DIV4 and DIV6 .From DIV7, there was an increasing trend of synaptogenesis, although this increase was not significant until DIV9.
On patterned samples , synaptogenesis progressed/occurred differently on SLG and ablated regions. On the stripes of SLG, synaptogenesis increased with increasing DIV. The results obtained were similar to the data we obtained exclusively with SLG. However, cells growing on ablated stripes did not seem to favor functional synaptogenesis. Indeed, synaptogenesis seemed to be extremely scanty until DIV11.
References :
1. Ji Won Suk, Alexander Kitt,CarlW. Magnuson, Yufeng Hao, Samir Ahmed, Jinho An, Anna K. Swan, Bennett B. Goldberg, and Rodney S. Ruoff .” Transfer of CVD-Grown Monolayer Graphene onto Arbitrary Substrates” ACS Nano VOL. 5 &’ NO. 9 &’ 6916-6924 &’ 2011
2. Matteo Lorenzoni , Fernando Brandi, Silvia Dante, Andrea Giugni, and Bruno Torre. “Simple and effective graphene laser processing for neuron patterning application.” Scientific reports: 2013; 3: 1954
9:00 AM - EE12.12
The Deformation of Few-Layer WS2 and WS2 Nanotubes and First-Principles Calculations of Strain-Induced Phonon Shifts
Fang Wang 1 Robert Young 1 Ian Kinloch 1 Daniel Wolverson 2 Reshef Tenne 3
1The University of Manchester Manchester United Kingdom2University of Bath Bath United Kingdom3Weizmann Institute Rehovot Israel
Show AbstractThere is presently great interest in using tungsten disuphide (WS2) in a number of different applications. We have investigated the strain-induced Raman band shifts in few-layer WS2 nanoplatelets and multi-wall nanotubes. In particular, we focussed on phonon softening of the, E2g1 (~355 cm-1), A1g (~420 cm-1) and 2LA (~350 cm-1) modes. The 2LA mode was taken into account even though it is a minor band, since it overlaps the E2g1 mode (<5 cm-1) and hence can cause ambiguity when it is disregarded.
Few-layer WS2 nanoplatelets produced by mechanical exfoliation from single crystals and CVD grown WS2 nanotubes were characterized by scanning electron microscopy (SEM) and atomic force microscopy (AFM). For the in-situ Raman deformation experiments, the nanoplatelets were placed onto the surface of a polymer beam whereas the nanotubes were incorporated into an epoxy resin matrix and then spread onto a similar polymer beam. Uniaxial strain was applied to the samples and small but significant Raman band shifts were observed. The rate of the band shift with strain was found to be lower for the WS2 nanoplatelets than for the nanotubes, possibly due to the nanotubes having better stress transfer within a composite than the platelets on the polymer substrate. The strain-induced bands shifts were then modelled using density functional perturbation theory (DFPT). Good correlation was found between the experimental and predicted band shifts. These observations have important consequences for potential applications of WS2 in both the nano-eletronics and composite fields.
9:00 AM - EE12.13
Atomically Phase-Matched Second-Harmonic Generation in a 2D Crystal
Mervin Zhao 1 Ziliang Ye 1 Ryuji Suzuki 2 Yu Ye 1 Yuan Wang 1 Yoshihiro Iwasa 2 Xiang Zhang 1
1Univ of California-Berkeley Berkeley United States2University of Tokyo Tokyo Japan
Show AbstractSecond-harmonic generation (SHG) from two-dimensional atomic crystals has been extensively studied, elucidating important information such as the grain boundaries and electronic structure in these ultra-thin materials. However, despite the inversion asymmetry of the monolayer, typical stacking restores inversion symmetry for even numbers of layers, drastically reducing the applicability of crystals such as molybdenum disulfide (MoS2) for second harmonic generation. Here, we probe the SHG generated from thin films of the bulk noncentrosymmetric crystal phase of MoS2. Whereas the typical 2H crystal phase&’s antiparallel nonlinear dipoles yield an oscillatory SH response with increasing layer number, the parallel nonlinear dipoles in the 3R crystal phase constructively interfere, leading to an “atomically” phase-matched quadratic dependence of second harmonic intensity on layer number in 3R crystals. We observe nearly two orders of magnitude stronger SH signals from 3R crystal layers compared to the respective 2H layers. By studying the layer evolution of the A and B excitonic transitions in 3R-MoS2 using SHG spectroscopy, we also find distinct electronic structure differences arising from the crystal structure and the dramatic effect of symmetry and layer stacking on the nonlinear properties of these atomic crystals.
9:00 AM - EE12.14
Selecting the Optimal Exfoliation Medium for Phosphorenemdash;Insights from MD Simulations
Vishnu Sresht 1 Agilio Padua 1 2 Daniel Blankschtein 1
1Massachusetts Institute of Technology Cambridge United States2Universiteacute; Blaise Pascal and CNRS Aubiegrave;re France
Show AbstractThe liquid-phase exfoliation of phosphorene, the two-dimensional derivative of black phosphorus, in the solvents dimethylsulfoxide, dimethylformamide, isopropyl alcohol, N-methyl-2-pyrrolidone, and N-cyclohexyl-2-pyrrolidone is investigated using three molecular-scale “computer experiments”. We modeled solvent-phosphorene interactions using a new atomistic force field, based on ab-initio calculations and lattice dynamics that accurately reproduces experimental mechanical properties. We probed solvent molecule ordering at phosphorene/solvent interfaces and discovered that planar molecules such as N-methyl-2-pyrrolidone preferentially orient parallel to the interface. We subsequently employed a novel simulation technique to peel a single phosphorene monolayer from a stack of black phosphorus, and analyzed the role of “wedges” of solvent molecules intercalating between phosphorene sheets in initiating exfoliation. The exfoliation efficacy of a solvent is enhanced when either molecular planarity “sharpens” this molecular wedge, or strong phosphorene-solvent adhesion stabilizes the newly exposed phosphorene surfaces. Finally, we examined the colloidal stability of exfoliated flakes by simulating their aggregation, and showed that dispersion is favored when the cohesive energy between the molecules in the solvent monolayer confined between the phosphorene sheets is high (as with DMSO), and is hindered when the adhesion between these molecules and phosphorene is strong; the molecular planarity in solvents like DMF enhances the cohesive energy. Our results are consistent with, and provide a molecular context for, experimental exfoliation studies of phosphorene and other layered solids, and our molecular insights into the significant role of solvent molecular geometry and ordering should complement prevalent solubility-parameter-based approaches in establishing design rules for effective nanomaterial exfoliation media.
9:00 AM - EE12.15
Hydrogenated Graphene: Formation, Stability, and Applications
Paul Sheehan 1 Keith Whitener 1 Woo Lee 1 Jonathan Felts 2 Jeremy Thomas Robinson 1 Paul Campbell 1
1U.S. Naval Research Laboratory Washington United States2Texas Aamp;M College Station United States
Show AbstractHydrogenation is an effective method for tailoring graphene&’s properties for applications such as electronics or surface engineering. Of the many methods for hydrogenating graphene, the Birch reduction process is particularly attractive because it is fast, reversible, and produces stark changes in the electronic structure. We will first review the Birch method for hydrogenating graphene with an emphasis on the kinetics of hydrogenation and the exceptional quality of the hydrogenated graphene (HG) produced. We will then explore the stability of the HG when exposed to several sources of energy—heat, mechanical stress, and energetic electrons—and thereby discuss in detail the kinetics of dehydrogenation.[1] Raman spectroscopy, scanning probe microscopy, and conductivity measurements were all used to track the extent of hydrogenation. For instance, relatively small increases in hydrogen coverage can dramatically increase graphene&’s resistivity. We also discuss the strange fact that partially hydrogenated graphene is ferromagnetic and the non-monotonic changes in magnetic strength with hydrogenation. [2]
[1] Felts, J. R.; Oyer, A. J.; Hernandez, S. C.; Whitener, K. E., Jr.; Robinson, J. T.; Walton, S. G.; Sheehan, P. E. Direct mechanochemical cleavage of functional groups from graphene. Nature communications2015, 6, 6467.
[2] Lee, W.-K.; Whitener, K. E., Jr.; Robinson, J. T.; Sheehan, P. E. Patterning magnetic regions in hydrogenated graphene via e-beam irradiation. Advanced Materials2015, 27, 1774-1778.
9:00 AM - EE12.16
Ab-initio Tight-Binding Hamiltonian for 2D Transition Metal Dichalcogenides
Shiang Fang 1 Sharmila Shirodkar 1 Rodrick Kuate Defo 1 Georgios Tritsaris 1 Bertrand Halperin 1 Efthimios Kaxiras 1
1Harvard University Cambridge United States
Show AbstractAb-initio Wannier-function derived tight-binding (TB) method is an efficient tool for simulations of experimental systems and nanostructures. In our work, we have constructed the general TB model for a one-unit 2D transition metal dichalcogenides (TMDCs) layer with spin orbit coupling corrections. For heterostructure composed of several layers, we have constructed an empirical transferrable interlayer interaction model that depends only on interatomic distances and is applicable when there are arbitrary translations and rotations between layers. Based on the hamiltonian, the interband optical transition, selection rules, Berry curvature for transport, and the effective theory in the external magnetic or electric field can also be investigated. Our model can serve as the starting point for the many-body physics and the simulations for device applications.
9:00 AM - EE12.17
Transfer-Free, Wafer-Scale Synthesis of High Quality and Uniform Hexagonal Boron Nitride Monolayers and Their Heterostructures with Other 2D Nanomaterials
Sanjay Behura 1 Phong Nguyen 1 Songwei Che 1 Rousan Debbarma 1 Vikas Berry 1
1Univ of Illinois-Chicago Chicago United States
Show AbstractOwing to the critical application of ultra-smooth hexagonal boron nitride (h-BN) in enhancing the carrier mobility of interfaced graphene and transition metal dichalcogenides, it is critical to develop chemistries for growth of large-area h-BN directly on desired substrates. This is because the associated transfer process in non-direct methods deteriorates BN quality; while direct-growth can preserve the smoothness of BN, critical for 2D nanoelectronics. Currently, there is no direct-growth mechanism for h-BN. Here we show high quality, large-scale and uniform single-layer h-BN films synthesized directly on SiO2/Si substrates via oxide-assisted chemical vapor deposition as confirmed by combined spectroscopic and microscopic analysis. The weak Raman intensity at asymp; 1373 - 1375 cm-1 corresponds to the atomically thin single-layer h-BN films. We also observe that Raman E2g peak position shifts to higher frequency which may be attributed to the substrate induced stress in the films. X-ray photoelectron spectroscopy shows the B/N atomic ratio of 1:1.15, indicating an almost equal composition of B and N. Finally, we demonstrate a heterostructure of directly-grown BN and MoS2. This study univocally provides a route to realize van der Waals heterostructures based on h-BN dielectrics and their fundamental investigations. This process of achieving h-BN avoids transfer related steps for further electrical characterization and integration into semiconductor processing.
9:00 AM - EE12.18
Solution Synthesis of TMD Flakes and Their Application as Photocatalyst
Diego Barrera 1 2 Michael Daniel Womble 1 Yun-Ju Lee 1 Sara M Rupich 1 Lanxia Cheng 1 Yves J. Chabal 1 Jiyoung Kim 1 Julia W. P. Hsu 1 Jian Wang 1
1The University of Texas at Dallas Richardson United States2Unidad Monterrey Apodaca Mexico
Show AbstractTransition metal dichalcogenides (TMDs) with layered structures similar to that of graphite have shown to be promising candidates for electronic and electrochemical applications. Their weak interlayer attraction allows exfoliation into two-dimensional flakes with a few layers thickness. These two-dimensional materials exhibit versatile and tunable chemical and electronic properties depending on the choice of the transition metal and the chalcogen elements. Here, we synthesize MoS2, MoSe2, WS2, and WSe2 nanoflakes with controlled thickness from thermolysis of organometallic precursor in presence of chalcogen powder. We study their band structures by measuring work function, ionization energy, and bandgap of TMD films made with different synthesis/processing conditions, using scanning Kelvin probe, photoelectron spectroscopy in air (PESA), and UV-vis-NIR absorption spectroscopy. The phase and composition of these materials are probed using Raman spectroscopy, and are correlated to structural characterization using transmission electron microscopy (TEM), electron diffraction, and X-ray diffraction (XRD). We also examine the stoichiometry of the TMDs by X-ray photoelectron spectroscopy (XPS), and flake thickness by atomic force microscopy (AFM). In addition, we perform electrochemical impedance measurements to probe the charge separation and electron transportation on these materials. Finally, we explore the application of these solution-processed TMDs as photocatalysts for environmental remediation, e.g. photodegradation of methylene blue.
9:00 AM - EE12.19
Theoretical Approach to Enhancing Stability of T-MoS2 Structure
Federico Raffone 2 1 Can Ataca 2 Giancarlo Cicero 1 Jeffrey C. Grossman 2
1Politecnico di Torino Torino Italy2Massachusetts Institute of Technology Cambridge United States
Show AbstractAmong the 2D transition metal dichalcogenides (TMDs), MoS2 is the one that has attracted the most attention due to its structure dependent electronic properties. Monolayer MoS2 is known to exist in three polymorphs: 2H, 1T and 1T&’. The former is thermodynamically stable and semiconducting (Egap=1.68 eV). The metallic 1T structure, on the contrary, was found to be metastable and undergo to a Peierls transition to the 1T&’ structure. In the process a small energy gap (0.06 eV) is opened. In recent years much effort has been made to stabilize T phases over 2H with the aim of gaining enhanced electrical performance, such as lower contact Schottky barriers, or better catalytic activity due to easier electron transfer. Although, several techniques were proposed so far, such as, alkali metals intercalation, straining and surface functionalization, a proper control of the transition is yet to be achieved. In this theoretical work we propose a new route for the MoS2 T phases stabilization: alloying with an MX2 material for which the 1T phase is the thermodynamically stable one. In particular, SnS2 is found to be the best candidate for such a purpose, being known to privilege 1T structure over 2H (ΔE=0.87 eV/formulae unit). Theoretical work has been carried out by means of Density Functional Theory (DFT) and Cluster Expansion (CE) simulations, a particularly suitable method for accurate prediction of alloy stability and concentration depended phase diagrams construction. Our aim is to stabilize 1T&’-MoS2 structure without affecting its electronic and magnetic properties. We conducted various convergence tests and predicted when impurity-impurity interaction diminishes on both crystal structures of SnS2 and MoS2. Our temperature and concentration dependent cluster expansion results show that upon introduction of Sn impurities in 1T&’-MoS2 structure the formation energy is lowered. Based on our convergence tests, we estimate the temperature dependent stable Mo1-xSnxS2 formulae unit where the crystal structure is 1T&’ and shows similar electronic properties to the metastable MoS2 phase. Our work showed that the cluster expansion formalism, which is for studying alloy structures, could also be used for predicting the stability of systems using computationally intensive and accurate methodology.
9:00 AM - EE12.20
Overcoming Oxidation of Black Phosphorus by Cleaning Surface
Sung Won Seo 1 2 Hyuksang Kwon 2 Tae Gun Kim 1 2 Jeong Won Kim 1 2
1Korea University of Science and Technology Daejeon Korea (the Republic of)2Korea Research Institute of Standards and Science Daejeon Korea (the Republic of)
Show AbstractBlack phosphorus (BP) has been lately attracted tremendous attention for a candidate of new two-dimensional semiconductor materials that bridge the range of energy gap between graphene (zero-gap) and transition metal dichalcogenide (1.3 - 1.8 eV) and possess superior electric properties such as high mobility, good on/off ratio and tunable direct bandgap. However, in spite of the merits, its chemical weakness vulnerable to air exposure makes it difficult to fabricate a versatile device structure. The surface is rapidly oxidized and roughened due to adsorption of oxygen at lone pair electrons of P atoms. Here, we observe the change in morphorogy and strcuture of BP surface immediately after atmospheric exposure and upon surface cleaning. We present a systematic study of surface cleaning and thickness control to keep the thin BP layer away from oxidation using atomic force microscopy, optical microscopy, and Raman spectroscopy. Consequentaly, a flat and ultrathin BP layer without surface damage such as deformation and etching upon removal of oxide species at the surface is achieved. The reliable step of of removing surface oxide layer will significantly contribute to the realization of BP-based electronic devices.
9:00 AM - EE12.21
Fast Response Functional Infrared Imaging Based on Few-Layers MoS2/Black Phosphorus Heterojunction
Hao Li 1 Lei Ye 1 Jianbin Xu 1
1The Chinese University of Hong Kong Hong Kong Hong Kong
Show AbstractIn recent years, the emergence of reduced dimensionality materials has suggested that these components can be scaled down to atomic thickness. Constrained by the hard and complex fabrication, high-performance Functional Infrared Imaging devices based on ultrathin materials have not certainly obtained appropriate development in the booming modern electronics and optoelectronics. Here, we demonstrate the electronic and optoelectronic characteristics of a p-n heterojunction diode fabricated using van der Waals assembly of few-layers black phosphorus (BP) and few-layers molybdenum disulfide (MoS2) as p-type and n-type semiconductor, respectively. To forms a heterojunction with electrical characteristics, the vertical stacking of two semiconductors can be tuned with an applied gate bias voltage to achieve a wide range of current-rectifying behavior with forward-to-reverse bias current ratios exceeding 103. The heterojunction diode also responds strongly to optical irradiation (lambda;=532 nm) leading to the photoresponsivity of 2.06 A W-1, and more importantly shows an emerging optoelectronic response at the important telecom band (lambda;=1.55 µm) with the photoresponsivity of 11.4 mA W-1 and the fast photoresponse of 15 µs. As an important figure of merit of the diode, the noise-equivalent powers (NEP) demonstrate their minimum values of 2.4×10-14 W Hz-1/2 for 532 nm illumination and 1.5×10-11 W Hz-1/2 for 1550 nm illumination, respectively. The gate-tunable p-n heterojunction concept presented here should be widely generalizable to realize diverse ultrathin, high-performance electronics and optoelectronics.
9:00 AM - EE12.22
Direct Deposited MoS2 Thin Film Electrodes for High Performance Micro-Supercapacitors
Mumukshu D Patel 1 Nitin Choudhary 1 Thomas Ho 1 Narendra Dahotre 1 Wonbong Choi 1
1Univ of North Texas-Denton Denton United States
Show AbstractTwo dimensional (2D) layered transition metal dichalcogenide (TMD) materials such as MoS2 provide a unique structure and physical properties for the efficient electrochemical energy storage devices such as Li-ion batteries and supercapacitors. This study explores the electrochemical behavior and structural characterization of MoS2 supercapacitor electrode. MoS2 films were characterized in a three electrode system with MoS2 as working, saturated calomel as reference and Pt mesh wire was used as the counter electrode. The MoS2 electrode exhibits typical quasi rectangular characteristics in cyclic voltammetry at different scan rates (10mV/s to 10V/s) in 0.5 M sulfuric acid (H2SO4) aqueous electrolyte. The charge-discharge profile revealed a high areal capacitance of 33mF/cm2 (equivalent to ~330 F/cm3) and a high cyclic stability even after 5000 cycles. An excellent volumetric power (40-80W/cm3) and energy density (1.6-2.4 mWh/cm3) were obtained at different current densities with extremely small discharge time of ~0.1 second, respectively. The high performance electrochemical properties of MoS2 electrode is expected to open new avenues in miniaturized energy storage devices especially in portable electronics.
9:00 AM - EE12.23
High Efficient Hydrogen Evolution by Mechanically Strained Transition Metal Dichalcogenide Nanosheets
Sun Woong Han 1 Kwanghyun Kim 1 Keun Ho Lee 1 Jee Ho Park 1 Soosang Chae 1 Young Bum Yoo 1 Tae hoon Ki 1 Hong Koo Baik 1
1Yonsei University Seoul Korea (the Republic of)
Show AbstractWe experimentally demonstrated correlations between mechanically bent tensile-strain-induced two-dimensional MoS2 nanosheets (NSs) and their electrochemical activities toward the hydrogen evolution reaction (HER). The tensile-strain-induced MoS2 NSs showed significantly steeper polarization curves than the strain-free ones, which is consistent with the simple d-band model. The linear sweep voltammetry (LSV) results indicated that the tensile-strain-induced MoS2 NSs showed higher current densities and steeper polarization curves than the strain-free MoS2 NSs, which is consistent with the theoretical hypothesis. Furthermore, the mechanical strain increased the electrochemical activities of all the NSs toward the HER except those loaded with high MoS2 mass. Mechanically bending MoS2 NSs to induce tensile strain enables the production of powerful, efficient electrocatalysis systems for evolving hydrogen.
9:00 AM - EE12.24
MoS2/Graphene-Based 2D Nancomposites for Boosting Electric Double-Layer Capacitor (EDLC)
Anishkumar Manoharan 1 Tian Z. Ryan 2 Simon S. Ang 1
1University of Arkansas Fayetteville United States2University of Arkansas Fayetteville United States
Show AbstractBeing an archetypal layered transition metal sulfide, molybdenum disulfide (MoS2) is comprised of three atomic layers stacked together (S-Mo-S) and bonded through van der Waals interactions. These two-dimensional transition-metal dichalcogenides (2D TMDs) has a 2H phase crystal structure with a monolayer bandgap of sim;1.9 eV, because of which, its semi-insulating property are not immediately desirable for use as an electrode material for energy storage applications. Carbon based materials like graphene oxide (GO), due to their excellent life cycle, high electric conductivity and chemical stability, are very commonly used in electrical double layer capacitor (EDLC). Due to the discrete nature of exfoliated graphene, the graphene nanosheets have some noticeable decrease in electrical contact resistance which may in turn reduce the energy and power density of EDLC. Recently, two-dimensional (2D) nanocomposites of MoS2/reduced graphene oxide (rGO) nanocomposites emerge as promising electrode material for boosting up the capacitance range, energy and current density. So herein, we report a simple and facile method for synthesizing and structuring the 2D-MoS2/rGO nanocomposite into an EDLC that perform much better than that from pure rGO. Graphene oxide (GO) solution was prepared using the Hummers method. MoS2 powder was mixed in NMP solution at a concentration of 2.5g/L. Later the reduced graphene oxide sheets were mixed along with MoS2 solution and made into sheets by a filtering method. We have characterized the MoS2/rGO nanocomposite using powder XRD, SEM and Raman spectroscopy to inspect the structural properties. Cyclic voltammetry (CV) curve was used to analyze the electrochemical behavior of both the types of EDLCs. The difference in area in the CV curve was about 10 times higher for the MoS2/rGO electrode based EDLC in comparison to the one with rGO membrane in a 0V - 1V potential window at a scan rate of 20 mV/s. This increase in area indicates the synergistic effect and higher specific capacitance. The specific capacitances of the EDLC with MoS2/rGO electrodes were 14.7, 8.1, 4.9 and 3.4 F/g at current density of 0.5, 1, 2, and 2.5 A/g, respectively, which is 6 times over the pure rGO nanosheet&’s case (2.2 and 0.8 F/g at a current density of 0.5 and 1 F/g). On that basis, we also saw an improvement in the energy-density of 8.1 Wh/Kg at 0.5 A/g. In future, through modifying the electrolytes and electrodes of the EDLC further, we plan to develop some new rechargeable batteries containing maximum energy at a higher current density.
9:00 AM - EE12.25
Ultrasensitive Mercury Ion Detection Using DNA-Functionalized Molybdenum Disulfide Nanosheets
Guihua Zhou 1 Shumao Cui 1 Jingbo Chang 1 Shun Mao 1 Junhong Chen 1
1Univ of Wisconsin-Milwaukee Milwaukee United States
Show AbstractMolybdenum disulfide (MoS2) nanosheet, due to its unique structures and electronic properties, becomes a promising candidate for high-performance sensing. In contrast to graphene with a zero band gap, MoS2 is a semiconductor with a direct band gap of 1.8 eV (single layer) and a high on-off current ratio of ~108. The excellent electronic properties, high sensitivity to chemical adsorption, and large surface area of MoS2 make it attractive for use in field-effect transistors (FETs) for water contaminant detection. The FET sensor was constructed with a MoS2 film as the sensing channel and DNA-functionalized gold (Au) nanoparticles (NPs) as the sensing probe. By monitoring the electrical conductivity of the FET device, mercury ions could be detected, in a dose dependent manner, within 2 seconds after the water sample was added onto the active area of the sensor. The performance of this platform is outstanding for Hg2+ detection, with a lower limit of detection (LOD) of 0.1 nM or 20 ppt, derived from the concentration corresponding with a signal-to-noise ratio greater than three. The LOD is much lower than the maximum contaminant level (MCL) for Hg2+ in drinking water (9.9 nM) recommended by the U.S. Environment Policy Agency (US EPA). Some other metal ions in water, e.g, As5+, Ca2+, Cd2+, Cu2+, Fe3+, Mg2+, Na+, Pb2+, and Zn2+, were used to verify the selectivity of the MoS2/DNA-Au NP sensor. The rapid, selective, sensitive and stable detection performance suggests a strong potential of using this sensor for in-situ detection of water contamination. The sensor can also be used as a standalone device or be integrated into existing water equipment for continuous water pollutant monitoring to mitigate health risks.
9:00 AM - EE12.26
Graphene Oxide Coupled with Gold Nanoparticles for Localized Surface Plasmon Resonance Based Gas Sensor
Michela Cittadini 1 Marco Bersani 1 Francesco Perozzi 2 Luca Ottaviano 2 Wojciech Wlodarski 3 Alessandro Martucci 1
1University of Padova Padova Italy2Universitagrave; dell'Aquila L'Aquila Italy3RMIT University Melbourne Australia
Show AbstractGraphene oxide (GO) consists in atomically-thin graphene sheets that are covalently decorated with oxygen-containing functional groups, either on the basal plane or at the edges, so that it contains a mixture of sp2- and sp3-hybridized carbon atoms. In tailoring of the size, shape and relative fraction of the sp2-hybridized domains of GO by chemical or thermal reduction provides opportunities for tailoring its optoelectronic properties. For example, as-synthesized GO is insulating but just by varying the oxidation level, with a controlled de-oxidation, a partially reduced GO can act as a semiconductor. Furthermore, its highly 2-dimensional nature, which determines huge surface-to-volume ratio, and efficient UV absorption make it a very promising material for photocatalysis and suggest potential applications wherever its peculiar optoelectronic properties can be exploited.
In the present work GO is used as sensitive material for an optical gas sensor. The sensor has been prepared by depositing partially reduced GO flakes over a monolayer of gold nanoparticles (NPs), chemically attached to a functionalized fused silica substrate.
The coupling between the GO flakes and the Au NPs has the aim of combining the semiconducting and catalytic activity behavior of the GO with the Localized Surface Plasmon Resonance (LSPR) of the Au NPs which can be used as an optical probe. The LSPR is known to be extremely sensitive to changes in the dielectric properties of the surrounding medium, a characteristic that has been widely exploited for the preparation of sensing devices. Here we expect an even larger enhancement of this effect induced by the electronic coupling of Au NPs and GO and the interactions of GO with reducing and oxidizing gases. While the use of GO for gas sensing has been covered in multiple reports, with the GO-Au NPs system already employed as a resistive gas sensor, only very recently GO has been used in an optical fiber array for the detection of vapors and to the best of our knowledge this is the first time that GO is used as sensing material in an optical sensor for the detection of reducing and oxidizing gases.
The sensors showed good and reversible responses with fast kinetics towards H2 and NO2, while no detectable response was observed towards CO. It was also demonstrated that the coupling of Au NPs with GO provided a wavelength-dependent sensing response for different gas, allowing the realization of a selective sensor. The mechanisms involved in the sensing of reducing (H2 and CO) and oxidant (NO2) gases using GO is just a combination between the photocatalytic behavior of GO in the visible, if coupled with Au NPs, and an exchange of electrons with the target gases through red-ox reactions mediated by the adsorbed oxygen.
9:00 AM - EE12.27
Asymmetrical p-n Junction Modulation in Metal-Graphene Interfaces via H2 Exposure
Alisson Ronieri Cadore 1 Edrian Mania 1 Evandro Augusto Morais 2 Takashi Taniguchi 3 Kenji Watanabe 3 Rodrigo Gribel Lacerda 1 Leonardo Campos 1
1Federal Univ-Minas Gerais Belo Horizonte Brazil2Federal Univ. of Itajubaacute; Itabira Brazil3National Institute for Materials Science Tsukuba Japan
Show AbstractThe advent of graphene endow to a new class of nanoelectronic devices with interesting electronic properties. Soon enough it was observed that its electronic properties are strongly sensitive to the environment and also by molecules assembled on its surface. In this context, progress in graphene technology necessarily involves making metal contacts in different geometries. In this work, we report an asymmetric modulation of charge carrier resistivity in graphene devices by molecular hydrogen (H2) exposure. Using electronic transport measurements with different devices geometries in graphene/boron nitride heterostructures, we observe that the H2 modulates the metal-graphene electrical interface. It results in a reversible and asymmetrical resistance modulation for different type of charge carrier in graphene devices. This modulation is caused basically by changes on electronic transmission through heterojunction formed between contacts and graphene. Finally, we also propose a model to describe the phenomena and further analysis to explain the influence of hydrogen on the general transport will be presented. Our results are valuable for understanding the metal-graphene interface, and show that graphene with invasive contacts can be used as a molecular hydrogen detector.
9:00 AM - EE12.28
The Impacts of Substrate and Local Defects on the Electronic Structure and Hydrogen Evolution Reaction Activity of MoS2
Shengxi Huang 1 Yan Chen 1 Xiang Ji 1 Xi Ling 1 Mildred S. Dresselhaus 1 Bilge Yildiz 1 Jing Kong 1
1MIT Cambridge United States
Show AbstractElectrochemical conversion and storage systems are considered to play an essential role in the sustainable energy landscape. The practical implementation of these devices, however, requires materials with high catalytic activity but low price to compete with existing technologies. Two-dimensional (2D) Molybdenum disulfide (MoS2) is one potential candidate which shows comparable performance as Pt in hydrogen evolution reaction (HER), a key reaction step for water splitting, and MoS2 is much cheaper than Pt. The electrochemical reactivity depends on the local electronic structure, which is very sensitive to the local defect states on MoS2 and to interactions between MoS2 and the substrate. However, the exact role of those defects on the surface reactivity is still not clear. In order to guide the optimization of surface reactivity for MoS2 and other transition metal dichalcogenides, the understanding of the correlation between the local defect states, substrate interaction and surface reactivity is needed.
In this work, we studied how the substrate and local defects in MoS2 impact the local electronic structure and electrochemical reactivity for HER. Single layer MoS2 with well-defined structure were first prepared by Chemical Vapor Deposition (CVD), and then transferred to different substrates using the PMMA transfer method. The defects studied in this work include the intrinsic defects that form during materials synthesis, and the defects created by annealing in vacuum and by sputtering. The electronic structure of MoS2 on various substrates and with different types of defects was characterized using X-ray/Ultraviolet photoelectron spectroscopy, Scanning Tunneling Microscopy/Spectroscopy, Raman and photoluminescence spectroscopy. We observed a direct evidence of charge transfer between substrate and MoS2 layer. The presence of defects was found to significantly alter the local electronic structure. The change in electronic structure due to substrate and defects were correlated with the HER activity quantified by linear sweep voltammetry. Our results provide useful guidelines in manipulating MoS2 to achieve high HER reactivity for clean and inexpensive water splitting devices.
9:00 AM - EE12.30
Unusual Angular Dependence of the Polarized Raman Spectra in Black Phosphorus
Marcos A. Pimenta 1 Henrique Bucker Ribeiro 2 Roberto Luiz Moreira 1 Aleksandr S Rodin 3 Juan Diego Zapata 4 Eunezio Antonio Thoroh de Souza 2 Antonio Helio Castro Neto 3 5 6 Christiano Joseacute; Santiago de Matos 2
1Universidade Federal of Minas Gerais Belo Horizonte Brazil2Mackenzie Presbyterian University Satilde;o Paulo Brazil3National University of Singapore Singapore Singapore4Universidad de Antioquia Medellin Colombia5National University of Singapore Singapore Singapore6National University of Singapore Singapore Singapore
Show AbstractPolarized Raman spectroscopy experiments were performed in Black Phosphorus single crystal, by analyzing the polarization of the scattered light parallel and perpendicular to the incident light polarization, and using three different laser lines (488 nm, 532 nm and 633 nm). While the angular dependence of the B2g Raman mode was well explained considering real values for the Raman tensor elements, the totally symmetric Raman modes with Ag symmetry were shown to exhibit an anomalous angular dependence, which could be only described by assuming that the Raman tensor elements exhibit complex values. From the analysis of the angular dependence, we could obtain the phase difference between the Raman tensor elements of the totally symmetric modes. It was observed that the relative phase is much larger for the in-plane A2g when compared with the out-of-plane A2g. The laser energy dependence of the absolute values and phase differences of the Raman tensor elements is also presented. We show that the angular dependence of polarized Raman spectra of BP is rather unusual, and can be explained only by considering complex values for the Raman tensor element, which are associated with the anisotropic linear dichroism of Black Phosphorus.
9:00 AM - EE12.31
Seeing beyond Graphene Basic Research Going to the Final Graphene Containing Product - Revealing Information about the Challenges of Transfer Process, Scaling up and Interfacing
Stefanie Freitag 1
1Carl Zeiss Microscopy GmbH Munich Germany
Show AbstractIntroduction
Graphene, a two-dimensional crystal of pure carbon, is the thinnest and strongest substance known to science. It is a good conductor of electricity, has a large surface area, is stretchable and yet is almost transparent. It conducts heat better than any other known substance. It acts as a barrier to the smallest atom of gas - helium - and yet allows water vapor to pass through. The Nobel Prize in Physics 2010 was awarded jointly to Andre Geim and Konstantin Novoselov "for groundbreaking experiments regarding the two-dimensional material graphene". Researchers are analyzing graphene on the atomic scale for several years now. But companies are aiming for the final manufactured product for example enhanced LED's, transistors, solar cells, ceramics and building material or batteries.
Results
The most common preparation method for large area graphene production is the chemical vapor deposition (CVD) process. Chemical reactions between the gas phase and the heated substrate or precursor take place thus creating a thin layer on the substrate. CVD synthesized graphene is made by depositing or segregating carbon decomposed from precursor gases containing hydrocarbons such as CH4 onto metal catalyst foils (commonly Ni or Cu) at high temperatures, followed by a cool down [1-3].
After the CVD process one has to exfoliate or separate the graphene from the substrate to the final desired substrate. This transfer process is very challenging and one of the limiting factors for mass production. PMMA residues and wrinkles in the graphene were investigated with light- and electron microscopy revealing possibilities to reduce or even avoid them. In-situ Raman spectroscopy added information about the functionalization and hybridization of the graphene layers.
Graphene can be used as front or back contact in LED's to enhance for example efficiency of the emission and heat management [4,5]. The quality of growth of gallium nitrite semiconductor columns on top of the graphene layers is crucial for the final performance of the light emitting diodes. Helium ion microscopy, bright field and dark field microscopy were used to visualize the homogeneity and height of these columns.
Further investigations with the non-destructive X-ray microscope on ceramics, building material and batteries revealed 3D and quantitative information about the distribution of graphene in the respective products and the influence of this additional material on the inherent structures and properties [6-8].
References:
[1] Yu, Q. K. et al; Phys. Lett. 2008, 93, 113103
[2] Reina, A. et al; Nano Lett. 2009, 9, 30-35
[3] Kim, K. S. et al; Nature 2009, 457, 706-710
[4] Chul-Ho Lee et al; Adv. Materials 2011, 23 (40), 4614-4619
[5] Martin Heilmann et al; Cryst. Growth Des. 2015, 15 (5), 2079-2086
[6] Guangmin Zhou et al; Adv.Materials 2014, 26 (4), 625-631
[7] Andy Nieto et al; Carbon 67, 2014, 398-408
[8] Bernd Wicklein et al; Nature Nanotechn.10 2015, 277-238
9:00 AM - EE12.32
Enhanced Electron Field Emission from Edges of Vertically Aligned 2D-MoS2 Layers
Anand Prakash Singh Gaur 1 Frank Mendoza 1 Satyaprakash Sahoo 1 Adriana M. Rivera 1 Saroj P. Dash 2 Gerardo Morell 1 Ram S. Katiyar 1
1Univ of Puerto Rico San Juan United States2Chalmers University of Technology Goteborg Sweden
Show AbstractField emission studies were carried out on 1L- MoS2, synthesized via CVD method, as well as bulk and morphologically-tailored bulk MoS2 films having edge terminated vertically aligned (ETVA) layers, fabricated by sulfurizing molybdenum films at varied temperatures. High resolution transmission electron microscopic studies of ETVA MoS2 film confirmed the presence of distinct stripe-like grains containing 3-7 MoS2 layers oriented perpendicular to the c- axis. Along with stripped grain, nano-crystalline flat regions (c-axis oriented) also exist in ETVA MoS2 film. However, such peculiar features were not found in other films synthesized at high sulfurization temperatures. Field emission measurements, carried out under low pressure, 10-7 torr, exhibited a lower turn on field (Et, defined as required electric field to emit 10 µA/cm2) of ~ 3.7 V/µm, for ETVA MoS2 films compared to planar bulk and single layer MoS2. For the 1L and planar MoS2 films, Et were found to be 5.7 V/µm and 11 V/µm respectively, attributed to low field enhancement factor ( β) due to their planar structure and high work function. For ETVA MoS2, the magnitude of β turned out to be very high (~ 2700) with very high and stable emission current (~10 mA/cm2) for long periods of time. This behavior could be due to conduction channel through metallic edges of vertically aligned layers. Our studies suggest the feasibility to tune the microstructure of ultra thin ETVA MoS2 film with elegant field emission properties are promising for applications of cold cathode emitter, used in flat displays, vacuum electronics and electron beam sources.
9:00 AM - EE12.33
Effect of Novel Fabrication Method for Reducing Nickel Silicide at Channel Edge Region in Metal Induced Lateral Crystallization Polycrystalline Silicon Thin Film Transistors
Kihwan Seok 1 Hyung Yoon Kim 1 Jaehyo Park 1 SolKyu Lee 1 Yong Hee Lee 1 Seung-Ki Joo 1
1Seoul National University Seoul Korea (the Republic of)
Show AbstractNickel silicide is main issue in Polycrystalline silicon Thin Film Transistor (TFT) which is made by Metal Induced Lateral Crystallization (MILC) method. This Nickel silicide acts as a defect center, and this defect is one of the biggest reason of the high leakage current. In this research, we fabricated polycrystalline TFTs with novel method called Edge Cut (EC). In normal process, nickel is deposited after active layer patterning, but in the case of EC process, nickel is deposited first. Therefore, nickel silicide spread out during furnace annealing which is called crystallization process. With this new fabrication method, we assumed that nickel silicide at the edge of the channel region is reduced. Electrical properties are measured and trap state density also calculated using Levinson & Proano method. With Edge Cut process, we could get low level of leakage current and high mobility. The trap density which is caused by nickel silicide is decreased with Edge Cut process, too.
9:00 AM - EE12.34
Large-Area 2D Films of Patterned Heterostructures Based on Transition Metal Dichalcogenides
Humberto Rodriguez Gutierrez 2 1 George Anderson 1 Sreyansh Agarwal 1 Jacek Bogdan Jasinski 3
1University of Louisville Louisville United States2University of South Florida Tampa United States3University of Louisville Louisville United States
Show AbstractIsolated single-layers of transition metal dichalcogenides (TMD) are 2D crystals with novel physical properties that differ from their bulk layered counterparts. The electronic structure, phonon modes and crystal symmetry changes with the number of layers and affect the physical behavior of these 2D materials. From the structural viewpoint, layered bulk TMDs are composed of vertically stacked tri-atomic layers (X-M-X) of the same chemical compound MX2 [where, for instance, M=(W, Mo,) and X=(S, Se, Te)], that only interact through van der Waals forces. Modifying the stacking sequence by alternating layers of different compounds as well as locally controlling the chemistry of TMDs, could open new possibilities for a large variety of material with artificially engineered properties and functionalities. In this work, a multi-step method was used to synthesize continuous large-area polycrystalline films of TMDs heterostructures. The two-dimensional films contain arrays of stacked TMDs hetero-layers interconnected by a single-layer of a different TMD. The synthesis approach combines shadow masks, high-vacuum thermal evaporation and chalcogenization in a chemical vapor deposition system. Transmission electron microscopy and Raman mapping were used to study the chemical composition distribution of the films. Depending on the chalcogenization sequence, different levels of alloying were observed in the sections containing hetero-layers. Different heterostructures of TMDs in which both the metal and/or chalcogen atoms are exchanged from one region to another, were fabricated and studied.
9:00 AM - EE12.35
UV Light Assisted Molecular Sensing in Air with Atomically Thin-Layered MoS2
Gugang Chen 1 Nestor Perea 2 Mauricio Terrones 2 Avetik Harutyunyan 1
1Honda Research Institute USA, Inc. Columbus United States2The Pennsylvania State University University Park United States
Show AbstractThe capability to detect ultra-low concentration of molecules critical for human society and its environment is progressing rapidly due to recent advances in nanomaterials. Low dimensional materials are promising candidates of ultra sensors because of their high surface to volume ratio, although it is challenging to achieve optimal sensitivity due to their vulnerability against various contaminations. Our previous work revealed parts-per-quadrillion (PPQ) level molecule sensing from single-walled carbon nanotubes (SWNT) and graphene when applying continuous in situ UV light illumination during gas detection [1,2]. However, intrinsic challenge of SWNT and graphene sensors to work in air under UV light prevents their wide range applications. Here we report a high performance gas sensor based on conductivity changes constructed as an FET device from thin-layered MoS2 synthesized by chemical vapor deposition that can work perfectly with UV light in dry air. Our experiments show that the application of in situ UV light can significantly improve the gas sensitivity of MoS2 down to ppb level. The effect of UV light as well as the mechanism of gas sensing with MoS2 are discussed and compared with those of carbon nanotube and graphene.
[1] Chen, G.; Paronyan, T. M.; Pigos, E. M.; Harutyunyan, A. R., Scientific Reports 2012, 2, 343.
[2] Chen, G.; Paronyan, T. M.; Harutyunyan, A. R., Appl. Phys. Lett. 2012, 101, 053119.
9:00 AM - EE12.36
Freestanding van der Waals Heterostructures
Amin Azizi 1 Sarah Marie Eichfeld 1 Mohammed Abu AlSaud 1 Gayle Geschwind 2 Kehao Zhang 1 Debangshu Mukherjee 1 Lorraine Hossain 1 Aleksander Piasecki 1 Joshua A. Robinson 1 Nasim Alem 1
1Pennsylvania State Univ University Park United States2Stony Brook University Stony Brook United States
Show AbstractTwo-dimensional (2D) heterostructures have recently gained great attention owing to their unusual properties and potential applications they can offer. However, most of current heterostructures are fabricated on rigid substrates, which restrict direct study of their atomic registry. Little is therefore known about the nucleation and growth of 2D heterostructures and their chemical and atomic structure. Here, we show a novel approach to fabricate atomically thin suspended 2D heterostructures consist of transition metal dichalcogenides (TMDs) and graphene. Using transmission electron microscopy (TEM) imaging and diffraction, we demonstrate examples of epitaxial versus non-epitaxial freestanding van der Waals heterostructures. We utilize ultra-high-resolution aberration-corrected scanning transmission electron microscopy (STEM) to show the atomic and chemical structure of TMD monolayers grown on suspended graphene and their edge morphology. We also show how photoluminescence behavior of single-layer TMDs on freestanding graphene differs from those on a rigid substrate (i.e. sapphire and epitaxial graphene). In addition, important role of defects on the growth of 2D heterostructure is revealed via (S)TEM imaging and electron diffraction analysis. The approach presented in this work can be used to explore a wide range of 2D heterostructures and their nucleation and growth mechanisms at the atomic scale.
9:00 AM - EE12.38
Charge Transfer Dynamics at ZnTe Nanoparticles/MoS2 Monolayer Hetero-Interface Using Kelvin Probe Force Microscopy
Intu Sharma 1 B. R. Mehta 1
1Indian Institute of Technology Delhi India
Show AbstractRecently, two dimensional transition metal dichalcogenides have drawn a considerable attention due to a unique dependence of the optical and electronic properties on number of layers.1,2 Monolayer MoS2 is an n-type direct band gap (Eg=1.8 eV) semiconductor, however bulk MoS2 is a p type indirect band gap (Eg=1.3 eV) semiconductor. On the other hand, Zinc telluride (ZnTe) is a p-type direct band gap (Eg=2.24 eV) semiconductor and is useful in optoelectronics devices.3 Due to the conductivity type and band alignments of monolayer MoS2 and ZnTe, their nanostructured hetero-interfaces are of potential interest for semiconductor applications.
In the present study; structural, optical and charge dynamic properties at ZnTe nanoparticles/MoS2 layer hetero-interface are investigated. MoS2 have been prepared by sulfidation of radio frequency (RF) magnetron sputtered molybdenum (Mo) thin films. Our study shows that nano or micro sized domains having single layer, few layers or bulk MoS2 are formed depending on the thickness of Mo film. Various parameters such as sulfidation time, temperature and amount of Sulphur used during conversion of Mo to MoS2, control MoS2 growth. ZnTe nanoparticles (NPs) of varied sizes are deposited by RF magnetron sputtering from ZnTe target of 99.9% purity onto MoS2 layers for studying the interface properties. Structural and optical characterizations of prepared samples have been performed by using X-ray diffraction and reflection spectroscopy. ZnTe NPs show an increase in the band gap value with decrease in the NPs size due to the quantum confinement. Number of MoS2 layers is identified by carrying out Raman spectroscopy studies. MoS2 shows characteristics Raman peaks E2g#697; (in plane) and A1g (out of plane), and positions of peaks evolve monotonically with increasing number of MoS2 layers.4 ZnTe NPs/MoS2 layer interface is investigated through Kelvin probe force microscopy (KPFM) technique. Evolution of ZnTe/MoS2 hetero-junction with thickness of ZnTe and number of MoS2 layers is observed with change in surface potential values. Surface potential values indicate charge transfer, consequently leading to band bending at the ZnTe/MoS2 interface. ZnTe/MoS2 hetero interface is also investigated in dark and under illumination conditions to find out surface photo voltage through KPFM. KPFM studies show that band bending at the ZnTe NPs/MoS2 interface can be optimized by controlling NPs size and number of MoS2 layers.
References
1A. K. M. Newaz, D. Prasai, J. I. Ziegler, D. Caudel, S. Robinson, R. F. Haglund Jr, and K. I. Bolotin, Solid State Communications155, 49 (2013).
2H. Schmidt, S. Wang, L. Chu, M. Toh, R. Kumar, W. Zhao, A. H. Castro Neto, J. Martin, S. Adam, B. Özyilmaz, and G. Eda, Nano Lett.14, 1909 (2014).
3T. Tooru, S. Katsuhiko, N. Mitsuhiro, G. Qixin, and O. Hiroshi, Appl. Phys. Exp.2, 122101 (2009).
4H. Li, Q. Zhang, C. C. R. Yap, B. K. Tay, T. H. T. Edwin, A. Olivier, and D. Baillargeat, Adv. Func. Mat.22, 1385 (2012).
9:00 AM - EE12.39
Controlled Thinning of Exfoliated Black Phosphorus Using Cycled H2O Surface Adsorption and Desorption
Matthew Carl Robbins 1 James Outlaw 1 2 Steven John Koester 1
1Univ of Minnesota Minneapolis United States2Saint Augustine's Raleigh United States
Show Abstract
Black phosphorus (BP) has recently emerged as an interesting two dimensional (2D) material for use in electronic and optoelectronic devices due to properties such as a high mobility, a thickness-dependent direct band gap from 0.3 eV (bulk) to 1.5 eV (monolayer), and an asymmetric effective mass. Electronic and optoelectronic devices such as field-effect transistors (FETs) [1] and photodetectors [2] have recently been demonstrated on BP showing favorable characteristics compared to other 2D materials such as transition metal dichalcongenides and graphene. However, a number of obstacles remain in order to realize devices with predictable characteristics as well as more complex structures such as heterostructures. Perhaps the most challenging problem in making reproducible devices is precise control of the BP thickness. This problem is exacerbated by the lack of large area growth methods and therefore, the mechanical exfoliation of BP flakes with a wide range of thicknesses is needed as the starting point for device fabrication.
In this work, we demonstrate a controlled BP thinning process which utilizes the inherent instability of BP in H2O as the etching mechanism. In this process, mechanically exfoliated BP on a SiO2/Si substrate is inserted into a vacuum chamber at 250 oC with a N2 carrier gas pressure of sim;1.0 Torr. Pulses of H2O are cycled every 10 seconds in order to repeatedly coat the top layer of BP with H2O. The byproduct of the reaction (likely phosphoric acid [3]) continuously evaporates as a result of the high temperature and the end result is a BP flake which has thinned by an amount proportional to the number of H2O pulse cycles. Thinned BP films were characterized using optical contrast analysis, atomic force microscopy, and Raman spectroscopy. MOSFETs were also fabricated and the device characteristics were obtained as a function of BP thickness. These results on the controlled thinning of black phosphorus are an important first step for realization of complex and controlled BP devices and circuits which are necessary for integrated electronic and optoelectronic applications.
This work was supported in part by the National Science Foundation (NSF) through the University of Minnesota MRSEC under Award DMR-1420013.
[1] N. Haratipour, M. C. Robbins, and S. J. Koester, “Black phosphorus p-MOSFETs with 7-nm HfO2 gate dielectric and low contact resistance,” IEEE Elect. Dev. Lett. 36, 411-413 (2015).
[2] N. Youngblood, C. Chen, S. J. Koester, and M. Li, “Waveguide-integrated black phosphorus photodetector with high responsivity and low dark current,” Nat. Photonics 9, 247-252 (2015).
[3] A. Favron et al., “Photooxidation and Quantum Confinement Effects in Exfoliated Black Phosphorus,” Nat. Materials doi:10.1038/nmat4299 (2015).
EE10: 2D Materials for Catalysts and Energy
Session Chairs
Albert Davydov
Deji Akinwande
Thursday AM, December 03, 2015
Hynes, Level 2, Room 210
9:15 AM - *EE10.01
Electrical, Optical, and Thermal Properties of van der Waals Heterostructures
James Hone 1
1Columbia University New York United States
Show AbstractA unique property of 2D materials is that they can be assembled into vertical heterostructures without requirements for epitaxy. We have pioneered techniques for assembling such van der Waals heterostructures, and are applying them to fundamental studies and applications of 2D materials. In particular, encapsulation in hexagonal boron nitride allows us attain the ultraclean limit of transport in graphene, 2D semiconductors, and other 2D materials ‘beyond graphene&’, including air-sensitive materials that are ordinarily not accessible in the atomically thin limit. In heterostructures of 2D semiconductors, the type of material and rotational alignment between layers can be used to control charge and energy transfer across interfaces, as studied by optical spectroscopy and ultrafast techniques. Finally, the same structures can be used to study thermal transport along and between 2D materials.
9:45 AM - EE10.02
Band Gap Engineering in a 2D Material for Solar-to-Chemical Energy Conversion
Jun Hu 1 Zhenkun Guo 1 Peter Mcwilliams 1 Daniel Druffel 1 Andrew Moran 1 Scott C. Warren 1
1Univ of North Carolina-Chap Hill Chapel Hill United States
Show AbstractTwo-dimensional (2D) semiconductors are an emerging platform for solar energy conversion because of their attractive properties: (i) thickness-tunable band gaps that can be varied across the solar spectrum; (ii) their surface intrinsically lacks surface states that would facilitate recombination; (iii) bulk recombination is not possible in atomically thin materials. So far, however, these features of 2D materials have not been exploited in solar to chemical energy conversion. Here we demonstrate that a quantum confined 2D semiconductor, 2D black phosphorus (BP) (Nat Nano9, 372-377, 2014; ACS Nano, 8, 4033-4041, 2014), can be used to convert solar to chemical energy. We find that the catalytic activity depends strongly on flake thickness, with thinner flakes exhibiting a 40-fold enhancement in quantum efficiency over thicker flakes (0.08% vs. 0.002%). In order to develop a general framework for understanding solar-to-chemical energy conversion in 2D materials, we have elucidated a multi-step mechanism that defines energy loss pathways and have validated the model using transient absorption spectroscopy. In the case of 2D BP, we find that thinner flakes achieve higher efficiencies despite shorter excited state lifetimes. Through a systematic variation in flake size, we find that the decreased lifetimes arise because thinner flakes also have smaller lateral sizes, which provide edge sites where charge recombination happens. This work highlights how the unique properties of 2D semiconductors can enable solar to chemical energy conversion, while also highlighting specific design rules—e.g., the need for edge-site passivation—that will enable further improvement in efficiency.
10:00 AM - EE10.03
Graphene-Based Schottky Contact and Its Application in the Energy Conversion
Shi-Jun Liang 1 Lay Kee Ang 1
1Singapore University of Technology and Design Singapore Singapore
Show AbstractDeveloping electronics/optoelectronics of high performance and seeking for alternative energy source are two of key tasks for scientists all over the world. The advance of atomically thin van der Waals (vdW) materials and their Schottky contact with graphene open up a new opportunity to realize novel-types of electronics and energy-harvesting devices. In this work, we will discuss the unique I-V characteristics of graphene/semiconductor Schottky junctions and evaluate the feasibility of developing high-efficiency vdW-based solid state energy-harvesting devices. First of all, we are going to confirm the validity of traditional diode equation for graphene/semiconductor (G/S) Schottky junction. So far graphene has been demonstrated to form G/S Schottky junction with different types of semiconductor, such as Silicon, GaN, GaAs, MoS2, etc. However, G/S junction is different from conventional metal/semiconductor junction due to graphene&’s unique properties. The unique roles (massless Fermion and ultrafast Fermi velocity, etc.) of graphene in G/S Schottky junction cannot be manifested in the traditional diode equation. Thus, our recent work [1-2] shows that the expression for inverse current density in the traditional diode equation needs to be revised into a new form to account for the unique carriers&’ transport properties through Schottky junction. Our model is compared with available experimental data and quantum model, showing a good agreement. Up to now, vertical vdW assembly of graphene and transition metal dichalcogenides have been reported experimentally and its applications in transistors and photodetector, solar cells, etc. have been demonstrated as well. In light of the vdW heterostructure&’s huge potential in energy conversion, we develop the novel solid state thermionic devices [3], which are based on the graphene/vdW semiconductor contact. The research results indicate that the cooling efficiency can be up to 85% of Carnot engine at room temperature, with graphene/WSe2/graphene structure functioning as cooler. Compared with traditional energy conversion approaches (e.g. thermoelectric and electrochemical processes), our proposed thermionic energy conversion approach has much higher efficiency. For example, our proposed power generator based on graphene/WSe2/graphene structure can recycle low-grade waste heat (around 100 oC) into electricity, with efficiency up to 24%. In comparison, the efficiency for thermoelectric generator and heat batteries based on electrochemical process is less than 10%. Our findings suggest that the vdW heterostructures may offer a fundamental platform to fabricate new generations of high efficiency electronics/optoelectronics and energy-harvesting devices.
Reference:
[1]. Shi-Jun Liang and L.K. Ang, Phys. Rev. Applied 3, 014002 (2015).
[2]. Shi-Jun Liang and L.K. Ang, submitted. [3]. Shi-Jun Liang and L.K. Ang, submitted.
10:15 AM - EE10.04
Design of Nanoscale 2D Material Devices for Simultaneous Transport and STM Studies with In Situ Functionalization
Justin R Young 1 Grady Gambrel 1 Shawna Hollen 1 2 Jay Gupta 1 Ezekiel Johnston-Halperin 1
1The Ohio State University Columbus United States2University of New Hampshire Durham United States
Show AbstractA defining characteristic of 2D materials is that they effectively have no "bulk" states, consisting entirely of surface atoms. As a result, the development of 2D materials for device applications relies on the ability to control their surfaces. The sensitivity of their electronic, optical, and thermal properties to the condition or functionalization of their surfaces motivates studies of transport and local structure of 2D material devices with in situ surface modification. Here, we present work demonstrating simultaneous electronic transport measurements and scanning tunneling microscopy (STM) experiments using graphene field-effect-transistor (FET) devices. Additionally, we address the technical challenges encountered in these dual transport and scanning probe investigations, including: lithographic steps to the development of nanoscale devices; locating devices with the STM probe despite limited visual access; measurement considerations for simultaneous STM/STS and gated FET transport measurements; as well as plans for future in situ functionalization. These technical developments will enable us to investigate the sensitivity of electronic transport of 2D devices to surface quality and localized functionalization.
10:30 AM - EE10.05
Specific Heat and Thermoelectric Power of Germanane
Bin He 1 Nicholas Cultrara 2 Lucas Lindsay 3 David Broido 7 Hyungyu Jin 4 Joshua Goldberger 2 Joseph P. Heremans 1 5 6
1The Ohio State University Columbus United States2The Ohio State University Columbus United States3Oak Ridge National Laboratory Oak Ridge United States4Stanford University Stanford United States5The Ohio State University Columbus United States6The Ohio State University Columbus United States7Boston College Chestnut Hill United States
Show AbstractGermanane (GeH) is a new two-dimensional hydrogen-terminated germanium graphane analogue semiconductor that has been successfully synthesized only recently [1]. We will report on the temperature dependence of the specific heat Cp of GeH from 2K to 300K. The specific heat differs considerably from the Debye model for the parent three-dimensional solid Ge. At low temperature, Cp follows a power law that approaches a T3 law, up to 7K. Theoretical calculation of the phonon spectra will be shown. Room temperature specific heat of GeH is measured to be 493 mJ/gK, about 10% higher than the theoretical result. The calculated Debye temperatures for the different modes are higher than 400 K, which is above the temperature where the material becomes amorphous. The specific heat of GeD is also measured and compared to that of GeH. We will further report preliminary data for the thermopower of p-type GeH obtained by doping GeH with Ga.
This work is support by the NSF EFRI-2DARE project EFRI-1433467
[1] E. Bianco & al., ACS Nano 7 4414-4421 (2013).
10:45 AM - EE10.06
Beyond Graphene; 2D Boron Nitride Nanosheets for Deep-UV Photo-Detection Applications
Muhammad Sajjad 1 Jadwisienczak M Wojciech 2 Peter Feng 3
1Institute of Functional Nanomaterials, University of Puerto Rico San Juan United States Minor Outlying Islands2Ohio University Athens United States3University of Puerto Rico San Juan United States Minor Outlying Islands
Show AbstractIn this presentation, we will demonstrate synthesis of few atomic-layer boron nitride nanosheets (BNNSs) and their application for deep ultraviolet photo-detection. Synthesis of BNNSs is carried out by using short-pulse plasma beam deposition technique. High-resolution tunneling electron microscopy, cathodoluminescence spectroscopy and photo-stimulated measurements are conducted and linked to characterize BNNSs morphology. The obtained BNNSs sheets are flat with typical size of 50×50 µm2 and are optically transparent down to 210 nm. Nanoscale studies by transmission electron microscopy revealed that these nanosheets are composed of densely packed honeycomb crystal lattice structure of covalently bonded boron and nitrogen atoms. Cathodoluminescence spectroscopy of these nanosheets revealed a single sharp excitonic peak centered at 233 nm at 300 K. The synthesized BNNSs are used to demonstrate applicability of BNNSs for detecting ultraviolet photons. The initial experimental tests of developed prototype BNNSs deep-ultraviolet photo-detector show that it is blind to photons with energy smaller than 4 eV. The calculated output power of the detector is approximately 2 µW and the ratio between the output electrical power and the input optical power is ~1%.
11:30 AM - EE10.07
Single Layers of WS2 Nanoplates Anchored to Hollow N-Doped Carbon Nanofibers as Efficient Electrocatalysts for Hydrogen Evolution
Sunmoon Yu 1 Jaehoon Kim 2 Ki Ro Yoon 1 Ji-Won Jung 1 Jihun Oh 2 Il-Doo Kim 1
1Korea Advanced Institute of Science and Technology Daejeon Korea (the Republic of)2Korea Advanced Institute of Science and Technology Daejeon Korea (the Republic of)
Show AbstractSince hydrogen is considered as one of the most promising energy carriers, alternative to finite fossil fuels, intensive research efforts have been devoted to developing noble metal-free electrocatalysts for hydrogen evolution reaction (HER). In particular, transition metal dichalcogenides (TMDs) such as MoS2 and WS2 have drawn tremendous attention due to their fascinating electrocatalytic activities for HER when they are single-layered or few-layered with numerous catalytically active sites. Unfortunately, their poor intrinsic conductivities hamper their use as electrocatalysts for HER. In this regard, it is an efficient strategy to make a composite, combining single-layered TMDs with highly conductive materials. Herein, single-layered WS2 nanoplates are randomly oriented and uniformly anchored to hollow N-doped carbon nanofibers (WS2@HNCNFs) via coaxial electrospinning and a subsequent two-step thermal treatment. For the coaxial electrospinning, styrene-acrylonitrile (SAN) was used for the core as a sacrificial template while polyacrylonitrile (PAN) and (NH4)2WS4 for the sheath as a robust carbon matrix and single layers of WS2, respectively. The two-step thermal treatment was conducted for the crystallization of WS2 nanoplates, and for the carbonization of the PAN with in situ N-doping. The growth of WS2 nanoplates along the c-axis direction was hindered by the surrounding amorphous carbon matrix, preventing stacking of the WS2 nanoplates. In situ N-doping in the hollow carbon nanofibers was achieved by generated ammonia gas (NH3) as by-product gas during the thermal decomposition of (NH4)2WS4. For comparison, bulk WS2 powder and single layers of WS2 embedded in nitrogen-doped carbon nanofibers were synthesized and electrochemically tested. The distinctive design of the WS2@HNCNFs enables remarkable electrochemical performances. The catalyst electrode exhibited superior electrocatalytic performance with a low overpotential with reduced charge transfer resistance to obtain significant hydrogen evolution, a small Tafel slope of approximately 45 mV/dec, a high exchange current density, and excellent durability. The extraordinary catalytic performance can be attributable to numerous exposed edges of single-layered WS2 and facile electron path ways provided by the hollow N-doped carbon network. In addition, the hollow structure showed increased the Brunauer-Emmett-Teller (BET) surface area, offering easy accessibility for electrolyte to catalytically active sites.
11:45 AM - EE10.08
Electrochemical Synthesis of Luminescent MoS2 Quantum Dots and Their Application as Catalyst for Hydrogen Evolution Reaction
Deepesh Gopalakrishnan 1 Dijo Damien 1 Bo Li 2 Hemtej Gullapalli 2 Vijayamohanan K Pillai 3 Pulickel M Ajayan 2 Manikoth M Shaijumon 1
1Indian Institute of Science Education and Research Thiruvananthapuram India2Rice University Houston United States3CSIR-CECRI Karaikudy India
Show AbstractRecent advances in 2-dimensional (2D) layered materials beyond graphene have opened up a new horizon for a novel class of low-dimensional systems with its extra-ordinary properties for applications in electronics, optoelectronics, energy conversion and storage. Single/few layered transition metal dichalcogenides show interesting electronic properties and their band gap can be tuned by controlling their sizes and edges.1,2 Here we report a size-controlled synthesis of luminescent quantum dots of MoS2 with a narrow size distribution, ranging from 2.5 to 6 nm and consisting of single/few layer thickness, from their bulk material, using a unique electrochemical etching of bulk MoS2 in aqueous ionic liquid solutions of 1-Butyl-3-methylimidazolium Chloride, ([BMIm]Cl) and Lithium bis-trifluoromethylsulphonylimide (LiTFSI).3 Excitation-dependent photoluminescence emission is observed in the MoS2 QDs. “As synthesized” MoS2 QDs also exhibit excellent electrocatalytic activity towards hydrogen evolution reaction (HER) with an onset potential of ~210 mV and with a Tafel slope of 60 mV/decade. The electrochemically assisted method provides an efficient approach to produce size controlled MoS2 QDs and the presence of a large number of active edge sites in such ultra-small MoS2 QDs would enable several new directions and opportunities in energy conversion technologies.
1. Wilcoxon, J. P.; Samara, G. A. Phys. Rev. B, PRB1995, 51, 7299-7302.
2. Gopalakrishnan, D.; Damien, D.; Shaijumon, M. M. ACS Nano2014, 8, 5297-5303.
3. Gopalakrishnan, D.; Damien, D.; Li, B.; Gullappalli, H.; Pillai, V. K.; Ajayan, P. M.; Shaijumon, M. M. Chem. Commun.2015, 51, 6293-6296.
12:00 PM - EE10.09
Exfoliated WS2 Nanosheets as Photoanodes in Photoelectrochemical Cells
Federico M Pesci 1 Chiara Grotta 1 Cecilia Mattevi 1
1Imperial College London London United Kingdom
Show AbstractBulk single crystals and polycrystalline films of the group 6 of transition metal dichalcogenides (MoS2, WS2, MoSe2 and WSe2), have been long investigated as photocatalysts in photoelectrochemical cells (PECs) showing solar energy conversion efficiencies up to 17%. [1] However, scalability of the synthesis of bulk materials has limited further investigations. Since the isolation of graphene, a renewed interest in these materials in their monolayer form has sparked, and unique optoelectronic properties have been demonstrated. Monolayer WS2 presents several features particularly promising for PEC applications. It exhibits a direct band gap in the visible range and orbital energy levels of n-WS2 can be favorable for charge transfer for oxidation of different electrolytes. [2]
We report the use of atomically thin films of n-doped monolayered WS2 platelets as photoanodes in a complete PEC. We show that WS2 monolayer films exhibit photocurrent at excitation wavelengths below the direct band gap edge at 630 nm (2 eV). The material was chemically exfoliated using Li intercalation into WS2 powders followed by exfoliation in water. This led to the formation of a stable aqueous suspension of monolayered WS2 platelets. [3] Uniform and continuous films of monolayer WS2 of different thicknesses ranging from (3-10nm) were fabricated and photoelectrochemically characterised by linear sweep, cyclic voltammetry and chronoamperometry. WS2 electrodes exhibited positive photocurrent density values up to 1mA/cm2 at relatively low applied potentials versus the Ag/AgCl R.E., confirming their n-doped nature and applicability as photoanodes for solar fuels production. The stability was monitored over days, allowing the utilization of such material in practical devices. Chronoamperometry characterization suggests that improvements in the stacking of WS2 nanosheets could enhance the transport of photoexcited carriers and therefore the photocurrent.
[1] G. Prasad et al., J. Phys. D: Appl. Phys. 21 (1988) 1028.
[2] J. Kang et al., Appl. Phys. Lett. 102 (2013) 12111.
[3] D. Voiry et al., Nano Lett. 13 (12) (2013) 6222.
12:15 PM - EE10.10
Metallic 1T Phase MoS2 Nanosheets as Supercapacitor Electrode Materials
Muharrem Acerce 1 Damien Adrien Voiry 1 Manish Chhowalla 1
1Rutgers University Piscataway United States
Show AbstractEfficient intercalation of ions in layered materials forms the basis of electrochemical energy storage devices such as batteries and capacitors. Recent research has focused on the exfoliation of layered materials and then restacking the two dimensional exfoliated nanosheets to form electrodes with enhanced electrochemical response. Here, we show that chemically exfoliated nanosheets of MoS2 containing a high concentration of the metallic 1T phase can electrochemically intercalate ions such as H+ , Li+ , Na+ and K+ with extraordinary efficiency and achieve capacitance values ranging from sim;400 to sim;700 F cmminus;3 in a variety of aqueous electrolytes. We also demonstrate that this material is suitable for high-voltage (3.5 V) operation in non-aqueous organic electrolytes, showing prime volumetric energy and power density values, coulombic efficiencies in excess of 95%, and stability over 5,000 cycles. As we show by X-ray diffraction analysis, these favourable electrochemical properties of 1T MoS2 layers are mainly a result of their hydrophilicity and high electrical conductivity, as well as the ability of the exfoliated layers to dynamically expand and intercalate the various ions. Finally, we have also studied the dynamic volume change during charge storage in order to investigate the possibility of using 1T MoS2 as an electrochemical actuator material.
12:30 PM - EE10.11
Ternary Layered Chalcogenides for Supercapacitor Applications
Karthik Ramasamy 1 Ram Gupta 2 Hunter Sims 3 Sergei Ivanov 1 Arunava Gupta 4
1Los Alamos National Laboratory Albuquerque United States2Pittsburg State University Pittsburg United States3German Research School for Simulation Sciences Juuml;lich Germany4University of Alabama Tuscaloosa United States
Show AbstractEver growing need for energy generation and storage applications demands development of materials with high performance and long term stability. Layer-structured materials are advantageous for supercapacitor applications owing to their ability to host a variety of atoms or ions, large ionic conductivity and high surface area. In particular, ternary or higher-order layered materials provide a unique opportunity to develop stable supercapacitor devices with high specific capacitance values by offering additional redox sites combined with the flexibility of tuning the interlayer distance by substitution. CuSbE2 (E = S or Se) are ternary layered semiconductor materials that are composed of sustainable and less-toxic elements. We report solution-based approaches for the synthesis of mono-, few- and multiple layers of CuSbE2 (E = S or Se) and their systematic study for use as supercapacitors, along with the effect of ionic size of electrolyte ions on the specific capacitance and long-term cycling performance behavior. We have obtained specific capacitance values as high as 120 F/g for nanoplates with thickness of 55 ± 6.5 nm using LiOH electrolyte. Electronic structure calculations based on density functional theory predict that with complete surface coverage by electrolyte ions a specific capacitance of over 1160 F/g is achievable using CuSbS2, making it a very attractive layer-structured material for supercapacitor applications. Additionally, the calculations indicate that lithium ions can be intercalated between the van der Waals layers without significantly distorting the CuSbS2 structure, thereby further enhancing the specific capacitance by 85 F/g. Quasi-solid-state flexible supercapacitor devices fabricated using CuSbS2 nanoplates exhibit an aerial capacitance value of 40 mF/cm2 with excellent cyclic stability and no loss of specific capacitance at various bending angles. Moreover, the supercapacitors are operable over a wide temperature range. We have further compared the electrochemical behavior of CuSbS2 with other non-layered phases in the system, namely Cu3SbS3, Cu3SbS4 and Cu12Sb4S13 and the results clearly highlight the importance of the layered structure for enhancing charge storage.
12:45 PM - EE10.12
Sulfur Atoms Bridging Few-Layered MoS2 with S-Doped Graphene Enables Highly Robust Composites for Lithium-Ion Batteries Anodes
Xiaolei Wang 1 Ge Li 1 Fathy M. Hassan 1 Min Ho Seo 1 Zhongwei Chen 1
1University of Waterloo Waterloo Canada
Show AbstractTremendous research interest from both academy and industry has been dedicated to the rechargeable lithium-ion batteries (LIBs) in the last decades for the upcoming era of portable electronics, electric vehicles (EVs) and hybrid electric vehicles (HEVs). However, the specific capacity of 372 mA h g-1 from commercial graphite anode results in a device energy density of ~150 W h kg-1, which is much lower than that of internal-combustion engines and cannot meet the EVs requirements. Therefore, there is an urgent need to develop novel anode materials with high theoretical capacities to replace graphite in next generation high energy LIBs. Compared to alloys and metal oxides which are extensively studied recently, some transition metal sulfides possess high specific capacity and unique structures, and have been considered as promising candidates for high-performance anode materials. Among various candidates, molybdenum disulfide (MoS2) possesses a similar 2D layered structure to graphite but a much larger interlayer spacing of 6.15 Å (vs. 3.35 Å of graphene) by stacking together through van der Waals interactions, which facilitates lithium-ion intercalation without a significant volume expansion. However, MoS2 still suffers from fast structural deterioration during lithiation/de-lithiation process and poor electrical/ionic conductivity, resulting in unsatisfactory cycling performance and rate capability in LIBs application. Therefore, the development of novel highly stable MoS2-based materials with fast kinetics remains challenging, owing to the lack of a ration design from molecular level. Moreover, it is also critical to correlate the performance with materials structure, and to understand the chemistry behind before its future practical applications.
Herein, we demonstrate a facile solvothermal synthesis of nanocomposites consisting fewlayered MoS2 and covalently sulfur-doped graphene (MoS2/SG) with excellent electrochemical performance. The sulfur atoms covalently bonded to graphene sheets and effectively bridging two-dimensional (2D) few-layered MoS2 and graphene enable high robustness of the composite materials. Moreover, the intimate contact of MoS2 and highly conductive graphene provides efficient electron transfer pathways, while the high surface of assembled 2D structured materials allows fast access to active materials. Such a unique composite architecture ensures the electrode with an exceptional cycling stability and superior rate capability. A capacity retention of 92.3% can be achieved after 2000 cycles at a current density of 10 A g-1; even at a high current density of 20 A g-1, the electrode still possesses a specific capacity of 766 mA h g-1. This composite material with excellent electrochemical properties holds great promise in the application of highperformance LIBs.