Symposium Organizers
Philippe Bergonzo, The French Atomic Energy Commission (CEA), LIST Institute
Paul W May, University of Bristol
David A. J. Moran, The University of Glasgow
Robert J Nemanich, Arizona State University
Symposium Support
Applied Diamond, Inc.
Cividec Instrumentation GmbH
Cline Innovations
Fine Abrasives Taiwan Co., LTD
Fraunhofer USA Inc., Center for Coatings and Diamond Technologies
Microwave Enterprises LTD.
Plassys - Bestek
SEKI Diamond
DD3: Sensors I
Session Chairs
Monday PM, November 30, 2015
Hynes, Level 1, Room 109
2:45 AM - DD3.01
Integrated Electrochemical and Optical Sensing Device Based on Fused Silica Fiber with B-NCD Thin Film Overlay
Robert Bogdanowicz 1 Mateusz Ficek 1 Michal Sobaszek 1 Marcin Gnyba 1 Mateusz Smietana 3 Jacek Ryl 4 Katarzyna Siuzdak 2
1Gdansk University of Technology Gdansk Poland2The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences Gdansk Poland3Warsaw University of Technology Warsaw Poland4Gdansk University of Technology Gdansk Poland
Show AbstractThe paper presents nanocrystalline boron-doped diamond (B-NCD) film as a coating for optical fibres. Seeding and growth processes of thin diamond films on fused silica optical fibres have been investigated. B-NCD films were deposited using Microwave Plasma Assisted Chemical Vapour Deposition (MW PA CVD). Optical fibre pre-treatment by dip coating in detonation nanodiamond (DND) seeding media has been performed. For the coating purpose, the DND suspension in polyvinyl alcohol (PVA) was chosen. The grain size distribution of nanodiamond particles in seeding medium was kept at approx. 10-50 nm. The B-NCD surfaces were analysed using high-resolution scanning electron microscopy (HR-SEM). The molecular structure of diamond has been examined with micro-Raman Spectroscopy. The sp3/sp2 ratio was calculated using Raman spectra deconvolution method. Thickness, roughness and optical properties of the nanocrystalline diamond films in VIS-NIR wavelength range were investigated on reference samples using spectroscopic ellipsometry. The B-NCD films deposited on glass reference samples exhibit high refractive index (n=2.3 at lambda;=550 nm) and low extinction coefficient. Furthermore, cyclic voltammograms (CV) were recorded to determine the electrochemical window and reaction reversibility at the B-NCD fiber-based electrode. CV measurements in aqueous media consisting of 5 mM K3[Fe(CN)6] in 0.5 M Na2SO4 demonstrated a width of the electrochemical window up to 1.03 V and relatively fast kinetics expressed by a redox peak splitting below 500 mV. Moreover, thanks to high-n B-NCD overlay, the coated fibers can be also used for enhancing sensitivity of long-period gratings (LPGs) induced in the fiber. The LPG is capable for measuring variations in refractive index of surrounding liquid by tracing shift in resonance appearing in transmitted spectrum. Possible combined CV and LPG-based measurements are discussed in this work. Due to extraordinary properties of diamond, which include high chemical and mechanical resistance, such films are highly desired for optical sensing purposes.
3:00 AM - DD3.02
Metal Nanoparticles/BDD Hybrid Electrodes for Analytic Detection of Pollutants in Water
Dounia Kamouni Belghiti 1 Emmanuel Scorsone 1 Jacques De Sanoit 1 Francesca Dini 2 Roberto Paolesse 2 Eugenio Martinelli 2 Corrado Di Natale 2 Philippe Bergonzo 1
1CEA, LIST, Diamond Sensors Laboratory Gif-sur-Yvette France2University lsquo;Tor Vergatarsquo; Rome Italy
Show AbstractDiamond is well known as an innovative solution in terms of low cost, miniaturization and sensitivity for electrochemical sensing. Moreover, boron doped diamond (BDD) excellent properties that include a wide potential window in aqueous media, high corrosion resistance, chemical inertness, biocompatibility and low background current, make diamond one of the most promising material for electrochemical sensing in real unprocessed samples. In order to increase the selectivity of these sensors, several studies have demonstrated the interest of the presence metallic nanoparticles such as Pt or Ir on BDD electrodes, to promote electro-catalytic activity and enhance the electrochemical performance. This leads to the possibility to detect new species and namely derived products from enzymatic reactions such as acetylcholine oxidation. Here we have used this approach on a multiplexed system of several electrochemical sensors in order to fabricate an electronic tongue based on multiple metallic groups on BDD electrodes. From this approach, the simultaneous detection of analytes in a complex medium by an array of BDD electrodes covered with different kinds of metallic nanoparticles provides a unique finger print signature for each analyte, increasing the specificity and the selectivity of the sensor.
In this communication we will describe the process enabling the deposition of metallic nanoparticles: the approach used two steps: first, a thin film of a few nanometres was deposited using physical vapour deposition, and then this layer was submitted to an hydrogen plasma treatment, to de-wet the metallic layer while the diamond surface remains hydrogenated. Conditions were optimized to obtain nanoparticles with a subsequent narrow size distribution (20 +/- 3 nm) and an homogeneous particle density. Nanoparticles deposited by this method exhibit a very good stability in term of electro-activity and adhesion on BDD, even after several hundreds of current pulses up to 50mA.s-1. Here we present the use of this innovative electronic tongue for specific detection of several chemicals i.e. Paraoxon and imidacloprid, known toxic water pollutants for humans.
3:15 AM - DD3.03
3D Porous Diamond as a New Material for Electrochemical Interfacing with Neural Networks
Clement Hebert 1 Emmanuel Scorsone 1 Philippe Bergonzo 1
1CEA LIST Saclay Gif Sur Yvette France
Show AbstractBoron Doped Nanocrystalline Diamond (B-NCD) is known as a remarkable material for the fabrication of neural interfaces, taking advantage in particular of its good biocompatibility, electrochemical properties, and stability. Over the last years, in collaboration with electrophysiologists and biologists, such material was structured in various ways to design diamond devices, including MicroElectrode Arrays (MEAs) enabling to probe the neuronal activity distributed over large populations of either neurons or embryonic organs. Such developments were conducted within the frame of the Neurocare FP7 EU funded project, gathering technologists, electrophysiologists, biologists, and neurophysiologists. Specific MEAs were built such as neural prostheses or implants in order to compensate function losses due to lesions or degeneration of part of neural tissues like the retina.
However, despite remarkable properties in vivo and stimulating and recording properties very close to that of platinum, diamond exhibits a rather low double layer capacitance and a high interfacial impedance thus precluding its use for the fabrication of novel microelectrodes that go beyond the state of the art achievable with other materials (SIROF, Black Pt, Pedot etc).
This motivated the development of novel forms of diamond coatings based on highly porous and conductive carbonated materials to obtain highly porous diamond electrodes. The approach relies on the ability to grow diamond at low temperatures on 3D shape porous materials using electrostatic grafting of nanodiamonds as a seeding layer. The approach led to the fabrication a new material where a thick porous polypyrrole layer is uniformly coated with diamond. This material, now named SPDiaTM, exhibits a capacitance value increased up to a factor 800 with respect to planar diamond, as well as very low electrochemical interfacial impedances.
MEAs fabricated using SPDiaTM exhbit electrochemical performances matching those of the most advanced materials such as PEDOT-CNT, Iridium Oxide or porous Platinum, and further exhibit the remarkable biocompatibility of diamond.
Although not directly conducted within the NEUROCARE project, the authors would like to strongly acknowledge the EU Commission for its support under the GA FP7-NMP-280433, as well as all partners of the project from whom this work would not have been made feasible, with special thanks to L. Rousseau and G. Lissorgues from the ESIEE group and S. Picaud from the Vision Institute in Paris.
DD4: Material Characterization
Session Chairs
Monday PM, November 30, 2015
Hynes, Level 1, Room 109
4:00 AM - *DD4.01
3D Imaging of Dopants in Chemical Vapour Deposition Diamond Films Using Atom Probe Tomography
Tomas Martin 1 Paul William May 2 Paul A.J. Bagot 1 Ken Haenen 3 Michael P. Moody 1
1University of Oxford Oxford United Kingdom2University of Bristol Bristol United Kingdom3University of Hasselt Hasselt Belgium
Show AbstractSynthetic diamond is a material with vast potential in a variety of fields, ranging from a bio-compatible substrate for biological devices to high-power electronics and solar-power devices. Diamond is routinely grown by chemical vapour deposition (CVD), and p-type semiconductivity can be easily achieved using diborane (Bshy;2H6) in the precursor gases of this process. However, despite several candidate dopants, true n-type semiconductivity has never been successfully achieved, and atomic-scale characterisation is essential to this goal.
Atom Probe Tomography (APT) is a perfect tool to characterise the distribution of dopants within diamond films. APT is based upon the controlled evaporation of individual ions from a very sharp needle-shaped specimen, projecting them onto a position-sensitive time-of flight detector. From the resulting data, a three-dimensional atomistic reconstruction of the tip, incorporating millions of these ions, is computer generated with highly accurate spatial resolution and elemental composition. Recent instrument advances and in particular the advent of the laser-assisted local electrode atom probe (LEAP) has opened the technique up to the study of semiconductors and even insulating materials. Previous investigations of diamond-based materials using atom probe tomography are extremely limited, but a recent successful study utilising it to date meteoritic nanodiamond [1] highlights its potential. However, as yet there have been no investigations into synthetic or doped diamond using the latest generation of laser-assisted atom probes.
This talk will discuss the use of APT to map the behaviour and location of dopant atoms in CVD diamond films. One key advantage of the CVD approach is that diamond films can be grown directly onto commercially available presharpened silicon microtips. This eliminates the need for the Focused Ion Beam (FIB) liftout procedure usually used to prepare atom probe needles, which is unsuitable for diamond due to its uneven milling behaviour and evaporation mismatch with weld materials. We have successfully used this approach to demonstrate that boron is homogenous within the grain of residually boron-doped nanocrystalline diamond films. This talk will go on to look in more detail at the preparation methods and analysis parameters optimal for imaging diamond using APT, the effect of different grain sizes and doping levels of boron-doped films, as well as looking at the various candidates for n-type doping of diamond - primarily phosphorus, lithium, and nitrogen.
[1]B Lewis et al, Meteoritic nanodiamond analysis by atom-probe tomography, 43rd Lunar and Planetary Science Conference (2012)
4:30 AM - DD4.02
Investigation of Dislocations in CVD Diamond
Alexandre Tallaire 1 Thierry Ouisse 3 Hakima Bensalah 2 Julien Barjon 2 Marc Legros 4 Vianney Mille 1 Ovidiu Brinza 1 Jocelyn Achard 1
1LSPM-CNRS Villetaneuse France2GEMaC Versailles France3LMGP Grenoble France4CEMES Toulouse France
Show AbstractIn the past decade, tremendous improvements in the crystalline quality and purity of Chemically Vapour Deposited (CVD) diamond films have been achieved. Millimetre-thick CVD plates with an area close to 1 cmsup2; have now been made commercially available by several suppliers, opening the way to new applications in optics and electronics thanks to the outstanding properties of single crystal diamond. Nevertheless reducing extended defects in synthetic diamond still remains an important challenge. For example, dislocations affect current leakage in power devices, generate background fluorescence, or induce strain and unwanted birefringence of optical components. To achieve an optimal performance new strategies and technologies aiming at reducing dislocation densities are highly required.
In that context, analysing and identifying the nature and origin of dislocations in diamond can rely on a combination of several techniques. Dislocations can be revealed and counted using selective etching with H2/O2 plasma. By measuring the strain field surrounding a dislocation with Birefringence Microscopy (BM), important information can be obtained on the Burgers vector of the dislocation. Cathodoluminescence (CL) can also image the propagation direction of dislocations since they behave as efficient recombination centres for excitons. Finally, Transmission Electron Microscopy (TEM) allows a full identification of the type and Burgers vector of a dislocation. However, it requires heavy equipment and the preparation of a thin lamella of material.
In this work, dislocations produced in a thick CVD diamond crystal are analysed using a combination of plasma etching, BM, CL and TEM. It was found that dislocations are mostly of the mixed 45° or pure edge type. Each one leads to a recognisable etch-pit pattern. The advantages of each technique are discussed in order to get a better understanding of the formation of these defects in the synthetic material towards developing higher performance devices.
4:45 AM - DD4.03
Thermal Conductivity of Boron Doped Single HPHT Diamonds between 20 and 400 K
Dmitry Prikhodko 1 2 Sergey Tarelkin 2 3 Anton Golovanov 2 1 Vitaly Bormashov 2 Sergey Buga 2 1 Dmitry Teteruk 2 Mikhail Kuznetsov 2
1Moscow Institute of Physics and Technology (State University) Dolgoprudny Russian Federation2TISNCM Moscow, Troitsk Russian Federation3National University of Science and Technology MISiS Moscow Russian Federation
Show AbstractBulk boron doped single crystal diamonds can be used as electric and thermal conductive substrates for wide variety of electronic devices. Detailed experimental data on electrical and thermal properties is required to model and design diamond based devices. Electrical transport properties of such crystals were reported in [1].
In this work we studied thermal conductivity of pure IIa and boron-doped IIb single crystal diamonds grown by the temperature gradient method under high pressure and high temperature (HPHT). The boron content was <1016 and ~1019 cm-3. Thermal conductivity measurements were carried out using Quantum Design PPMS by steady-state method in temperature range from 400 to 20 K on specially cut thin samples.
The thermal conductivity data has the accuracy less than 6% in the range 20-400 K.
The data was interpreted and fitted using the Callaway model. We considered phonon-phonon scattering, boundary scattering, scattering on point defects (13C isotopes and substitutional boron atoms) and extended defects (dislocations, etc.).
At low temperatures the thermal conductivity of boron-doped IIb diamond was found to be 2-4 times less than the thermal conductivity of pure IIa diamond. On the other side at high temperatures (>300 K) the difference is less than 30%. The decrease of thermal conductivity for boron-doped IIb diamond at T > 140 K is caused mostly by extended defects. In the operational range of diamond electronic devices (>300 K) the decrease of thermal conductivity of boron-doped IIb diamond should be taken into account, but it is unlikely that it can have critical influence on their performance.
[1] V.S. Bormashov, et al., Electrical properties of the high quality boron-doped synthetic single-crystal diamonds grown by the temperature gradient method, Diam. Relat. Mater. 35 (2013) 19-23.
5:00 AM - DD4.04
Microscopic Electrical Conductivity of Nanodiamonds after Thermal and Plasma Treatments
Jan Cermak 1 Halyna Kozak 1 Stepan Stehlik 1 Alexander Kromka 1 Bohuslav Rezek 1
1Inst. of Physics ASCR VVI Prague Czech Republic
Show Abstract
Nanodiamond (ND) electronic and optical properties may be tuned by surface termination, which is important for their usage in nano-chemical, sensing or energy conversion devices. Atomic termination is commonly achieved by a plasma treatment in the specific gas atmosphere. Recent experiments indicate that thermal and plasma treatments have a more complex effect on NDs compared to bulk diamond [Petit et al., Phys Rev. B 84, 233407 (2011)]. This can be attributed to nanoscale dimensions and commonly present amorphous carbon shell of the NDs, be they of detonation or HPHT origin. The amorphous carbon phase significantly influences electronic properties of detonation NDs as recently observed by surface potential measurements (KPFM) in correlation with infrared spectroscopy (FTIR) [Kromka et al., Phys.Stat.Sol. (b) 2015, in press]. In order to better understand the influence of surface treatment and surface condition on NDs electronic properties we performed local electrical conductivity measurements on individual and aggregated NDs by atomic force microscopy (AFM).
The detonation and HPHT NDs are characterized in the as-received state (i.e. after wet chemical cleaning), after plasma treatment in hydrogen, and after thermal annealing in air. Gold layers sputtered on n-doped silicon are used as electrically conductive, non-oxidizing substrates. NDs are deposited by immersing the substrates in the appropriate ND aqueous solution for 5 s. The samples are let dry in air and prior measurement they are heated to 180°C for 30 min (hot plate) to evaporate adhered moisture. AFM is operated in the PeakForce conductivity regime (PF-TUNA) which probes the electric current (in the range of < 10 pA) flowing between the substrate (biased up to +4 V) and the AFM tip during controlled cantilever approach-retract movements at low frequency (1 kHz). The differences in NDs conductivities are well correlated with FTIR and KPFM data. The influence of plasma treatment time, air moisture, carbon shell, contact barrier as well as actual diamond surface termination on the electrical conductivity of NDs will be discussed with view to their potential uses in sensors or energy conversion devices.
5:15 AM - DD4.05
Piezoresistivity in Ultra-Thin Nanocrystalline Diamond Membranes
Sien Drijkoningen 1 Stoffel Janssens 1 2 3 Ken Haenen 1 2
1Hasselt University Diepenbeek Belgium2IMEC vzw Diepenbeek Belgium3National Institute for Materials Science (NIMS) Tsukuba Japan
Show AbstractWith their outstanding properties and ability to withstand harsh conditions, nanocrystalline diamond (NCD) membranes are a promising candidate for future use as sensitive pressure detectors [1]. The transparency of glass allows a straightforward fabrication procedure on this substrate material. Here we&’ll discuss the fabrication of ultra-thin NCD membranes on different types of glass and the study of their piezoresistive properties when bulged under varying differential pressure. To this end, the membranes are positioned in the middle of a Hall bar structure, enabling the continuous probing of their electrical properties.
It will be shown that the underlying substrate plays an important role in the final pressure sensitivity of the membrane on top. This is primarily due to the amount of stress created in the film during the diamond growth process, leading to wrinkle formation. Heavily boron doped NCD membranes show a straight dependence of the sensor sensitivity on the type of glass substrate, directly observable by the amount of wrinkles in the membrane itself. The difference in thermal expansion coefficient between the glass types and the NCD film is thought to be responsible for this difference in stress, leading to an increase in sensitivity of 5 3% for membranes on Corning Eagle 2000 glass compared to those on Schott AF45 glass.
Furthermore, piezoresistive effect measurements on undoped H-termined surface conducting NCD membranes are discussed [2]. These measurements reveal additional information on the complex transport mechanisms in this granular material and encourage further research on the piezoresistive properties of diamond.
References
[1] S.D. Janssens, S. Drijkoningen, K. Haenen, Applied Physics Letters 104/7 (2014), 073107.
[2] S.D. Janssens, S. Drijkoningen, K. Haenen, Applied Physics Letters 105/11 (2014), 101601.
Acknowledgements
SDJ is a Postdoctoral Fellow of the Japan Society for the Promotion of Science (JSPS).
5:30 AM - DD4.06
Conception and Evaluation of Innovative X-Ray Diamond Smart-Windows
Colin Delfaure 1 Nicolas Tranchant 1 Jean-Paul Mazellier 2 Pascal Ponard 3 Philippe Bergonzo 1 Samuel Saada 1
1CEA-LIST Gif-sur-Yvette France2Thales Research amp; Technology Palaiseau France3Thales Electron Devices Thonon-les-Bains France
Show AbstractThe growing interest for high resolution X-Ray imaging in medical and industrial applications leads to increasing developments in the field of micro-focus transmission X-Ray tubes. In order to improve next generation devices, the subsequent increase of the power density and predicted instability of the emission currents must be addressed. We report here of the realization of innovative diamond windows where an integrated diamond detector is embedded in order to measure in real time the dose emitted by the X-ray tube without disturbing its operation. This ultimately leads to the possible fine control of the current instabilities via feedback loop control.
To understand and predict the mechanical and thermal specifications of such smart-windows, we modelled the physical parameters of the system. First the mechanical behaviour has been studied in order to downsize accurately the window. Then, a thermal analytical model has been developed to predict the temperature distribution within diamond windows under operating conditions and to check the mechanical downsizing. Finally the detection performances were modelled using Monte-Carlo simulations in order to predict the operating specifications of the devices as a function of the generated photocurrents.
Experimentally, several set-ups have been designed and used to characterize prototype devices. First, diamond smart-windows of 1 cm2 and 100 µm thick were grown using a homemade MPECVD reactor. Large diamond grains improve the heat spreading in the target and a smooth surface is required for its deposition. Hence films with a controlled (100) texture have been synthesized. A combined laser interferometric and scattered intensity set-up has been developed to optimize the diamond microstructure. Synthetized diamond windows prototypes have further been brazed on metallic rings in order to perform fatigue tests for up to 104 cycles under vacuum differential pressures. Eventually, the detection performances of such diamond smart-windows have been qualified under X-Ray exposure. A homemade EBIC set-up has been used to simulate the environment of an X-Ray tube and test the device under nano-beam conditions. Finally the performances have been evaluated in a first low-energy X-Ray tube prototype equipped with CNTFET cold cathodes thus capable of producing strong emission currents. The results will be presented and discussed.
DD5: Poster Session
Session Chairs
Monday PM, November 30, 2015
Hynes, Level 1, Hall B
9:00 AM - DD5.01
Size Decrease of Detonation Nanodiamonds by Air Annealing Investigated by AFM and DLS
Stepan Stehlik 1 Marian Varga 1 Martin Ledinsky 1 Alexander Kromka 1 Bohuslav Rezek 1
1Institute of Physics, ASCR Prague Czech Republic
Show AbstractNanodiamonds with typical size of 4-5 nm are routinely prepared by a detonation from oxygen-deficient explosives on industrial scale. Although they are called nanodiamonds their structure is much more complex. According to latest understanding, a typical 5 nm detonation nanodiamond (DND) particle consists of: 1) a diamond core formed by sp3 hybridized carbon, 2) transient sp3/sp2, or disordered sp3 layer around the diamond core, 3) a surface shell formed by fullerene-like domains or graphite-like fragments. The surface shell in particular accommodates various surface functional groups that saturate dangling bonds and are responsible for the colloidal and chemical properties of the DNDs which are now relatively well understood and exploited.
On the other hand, quantum phenomena in diamond generally are still poorly understood compared to other semiconductors like Si or Ge. This is mainly due to very small exciton radius in diamond (1.6 nm vs. 4.9 nm in Si, 24.3 nm in Ge) which implicate a nanodiamond should be very small, probably below 2 nm (T. Yuan, K. Larsson, J. Phys. Chem. C 2014, 118, 26061minus;26069) to experimentally observe quantum phenomena. Recent progress led to preparation of DNDs with mean size of 2.8 nm by means of nanostructured explosives (V. Pichot et al., Diam. Relat. Mater. 2015, 54, 59-63). Another option may be to exploit the narrow size distribution of DNDs and use air annealing to decrease the size of regular DNDs by oxidative etching.
Here we report on investigation of air annealing effect on the size of DNDs by means of atomic force microscopy (AFM) on a substrate and dynamic light scattering (DLS) in colloidal solutions. For the AFM analysis we used common Si substrates which were densely covered by DNDs by a seeding treatment. The seeded substrates were then annealed in air at temperatures from 500 to 520°C for 50 minutes. The annealing temperatures were chosen based on previous thermogravimetric analysis. The size distribution of such annealed DNDs on Si substrate was analyzed by AFM. We observed considerable shift from the initial mean size of ~4.5 nm of not annealed DNDs to the size below 2 nm for DNDs annealed at 520°C. We then applied similar air annealing treatments to the original, macroscopic DND powder and subsequently prepared the colloidal solutions using ultrasound and centrifugation treatments. The DLS analysis showed decrease of the annealed DNDs size down to ~3 nm. As the air-oxidized DNDs strongly attract water (S. Stehlik et al., Diam. Relat. Mater., submitted) and DLS measures hydrodynamic diameter, this value may be overestimated due to presence of hydration shell. Our results thus indicate that air annealing indeed may be used to decrease the size of DNDs near to the quantum confinement region.
9:00 AM - DD5.02
Enhancing the Safe and Efficient High Pressure Microwave Plasma Assisted CVD Operating Regime for SCD Synthesis Using Continuous Wave and Pulsed Microwave Excitation
Matthias Muehle 1 2 Jes Asmussen 2 Michael Becker 1 Thomas Schuelke 1
1Fraunhofer USA, Center for Coatings and Diamond Technologies East Lansing United States2Michigan State University East Lansing United States
Show AbstractAchieving single crystalline diamond (SCD) wafer sizes of 1” and above requires serious effort during the growth process. Diamond is not increasing its lateral dimensions during the growth process. Thus there are 3 main concepts to increase SCD dimensions towards industrial demands: (1) mosaic growth, (2) flipped side approach, and (3) flipped seed approach. The first two concepts have been realized and reported [1]. The built-up of internal stress between the individual clones or on the flipped side makes these approaches not ideal. The flipped seed approach seems as the only option to succeed in making large size SCD wafers of high quality and without internal stress. The two major concerns with the flipped side approach are a significantly higher amount of SCD post processing and far more total growth needed. While we made good progress in mastering the first issue [2] the problem of significantly increasing the growth rate still has to be addressed.
The development of new growth reactors allowed enhancing the safe and efficient growth window resulting in deposition rates up to 75 um/hour. This reactor was limited in maximum pressure by the stability of the plasma. The pressure was limited at 300 Torr due to the use of a microwave power supply pulsed at 120 Hz [3]. We equipped a microwave cavity plasma reactor (MCPR) of type B with a switchable power supply between continuous and pulsed excitation. In pulsed mode the pulsing frequency, duty cycle and Pmin and Pmax can be varied. This allows us an extensive study of the fundamental reactor behavior in order to identify the best operation conditions for high-pressure SCD growth.
We propose a series of different reactor performance curve experiments. First, we will expand the pressure range to 400 Torr using a continuous wave discharge. Stability of the discharge for pressures as high as 400 Torr has already been proven in previous SCD deposition experiments. Due to the high power densities at high pressures we will be using single crystalline diamond substrates instead of silicon wafers. The substrate temperature as function of the input power will be recorded and the growth window extended. Photography and optical emission spectroscopy we will be recorded for plasma characterization. Reactor operational maps with variations of the different parameters of a pulsed microwave discharge, such as pulsing frequency, duty cycle and the role of Pavg vs. Ppeak by varying Pmin and Pmax, are performed. A set of power supply parameters for most efficient growth is identified. SCD growth experiments are performed using the optimized reactor setup.
References
[1] Yamada et al., Diamond and Related Materials 20:4 (2011), 616-619
[2] Muehle et al., Diamond and Related Materials 42 (2014), 8-14
[3] Lu et al., Diamond and Related Materials 37 (2013), 17-28
9:00 AM - DD5.03
Selective Epitaxial Growth of Diamond on Si (100) Substrates by Microwave Plasma CVD
Kazuki Komiya 1 Ryuhei Kojima 1 Ryota Yamada 1 Yuki Saito 1 Hideo Isshiki 1
1Graduate School of Informatics and Engineering, The University of Electro-Communication Chofu Japan
Show AbstractDiamond is attracting much attention for application to semiconductor devices because of its high carrier mobility, high thermal conductivity and wide band gap. Considering the cost and mass productivity, a silicon substrate is a candidate for the device platform. If the diamond selective growth on Si substrate is possible, diamond power devices and the control system LSI can be integrated. We have been studying epitaxial growth of single crystal diamond on Si substrate for the next-generation intelligent power module. However it is difficult to grow single crystal diamond film on Si substrate due to the large lattice mismatch and difference of thermal expansion. In this work, we take an approach to combine highly-oriented diamond nucleation [1] and selective growth in order to avoid the difficulties of diamond hetero-epitaxy.
The diamond nucleation and growth were carried out in microwave-plasma using gas mixtures of hydrogen and methane (0.5% CH4/ H2) with a pressure of 30 torr. The nucleation process was performed on silicon (100) substrate. In order to obtain highly-oriented diamond nuclei, a small amount of monomethyll silane (MMS: CH3SiH3 ) was added in the initial stage of nucleation[1]. The oriented diamond nuclei were selectively remained by lithography and the O2 plasma etching processes after the nucleation. The pattern was the circles with a diameter of 3 mu;m and the interval was 60 mu;m. Cylindrical resonance microwave-plasma CVD was used to achieve high growth rates of diamond. The reactor pressure was 135 torr with 3% CH4/H2. Diamond grown on Si was observed by scanning electron microscopy (SEM), and crystallinity of the grown diamond was evaluated by Raman scattering spectroscopy.
After the first growth, the size of nuclei was evaluated to be a few tens nano-meters by SEM. In the second growth, diamond selective growth on the patterned nuclei was achieved and no diamond nucleation by the second growth process was observed. SEM photographs show arranged diamond cubes highly-oriented to Si substrate. The diamond crystalline cube with about 80mu;m cube was obtained after 16 hours growth. Micro-Raman scattering spectroscopy shows 1333cm-1 fine peak with the linewidth of less than 4cm-1, which is comparable to the bulk value and indicates the good crystallinity of the selective epitaxial diamond.
[1] H. Isshiki et al, Jpn. Appl. Phys. 51 (2012) 090108.
9:00 AM - DD5.04
Large Area Liquid-Phase Deposition of Low-k Carbon Nitride Films with Extremely Low Energy Consumption
Hideo Kiyota 1 Masafumi Chiba 1 Mikka Nishitani-Gamo 2
1Tokai University Hiratsuka Japan2Toyo University Kawagoe Japan
Show AbstractShrink of device features in ultralarge-scale integration (ULSI) results in increased signal delay, power consumption, and cross-talk interference between device interconnections. Therefore, low-k insulating materials with dielectric constant k lower than 2 are needed for multilevel interconnections. Carbon nitride (CNx) is a promising low-k insulating material required for the modern ULSI technology because of high resistivity, low dielectric constant, and chemical stability [1], as well as other carbon materials such as diamond and amorphous carbon. While the CNx film has been studied by using conventional vapor depositions, the electrochemical synthesis to deposit the CNx film in organic liquid has been developed as an alternative deposition technique. Previously we have reported that the dielectric constant k as low as 2.6 was obtained for the liquid-phase deposited CNx films where acrylonitrile (CH2CHCN) liquid was used as the electrolyte [2]. In this work, we have attempted a large area synthesis of low-k CNx films with extremely low energy consumption during the deposition process. Liquid deposition of the CNx film was achieved by application of a DC bias voltage between two electrodes immersed in acrylonitrile. Si substrates were mounted on both positive and negative electrodes with parallel plate configuration. Previously, the CNx films were obtained by application of bias voltage higher than 1000 V when the electrodes were separated by 10 mm. To reduce the bias voltage, a gap between the electrodes is adjustable from 10 mm to 0.1 mm by placing polytetrafluoroethylene (PTFE ) spacer between them. Typical deposition parameters to obtain the continuous and uniform films are a bias voltage of 200 V, a current density of 0.5 mA/cm2, a liquid temperature of 323 K. Reducing the gap distance, continuous and uniform CNx films can be deposited over 5-inch diameter Si wafers under the bias voltage lower than 100 V. A power consumption required to deposit the CNx films can be reduced to 0.1 W/cm2, estimating to be 70 W for the 300 mm wafer, which is much lower than ever reported. These results show that the large area deposition of low-k CNx film can be achieved by our liquid deposition system with reasonable energy cost.
[1] M. Aono and S. Nitta: Diamond Relat. Mater. 11 (2002) 1219.
[2] M. Higashi, H. Kiyota, T. Kurosu, and M. Chiba: Jpn. J. Appl. Phys. 50 (2011) 061502.
9:00 AM - DD5.05
Electronic Structure and N-Type Doping in Diamond from First Principles
Piotr Spiewak 1 Kamil Czelej 1 Krzysztof Kurzydlowski 1
1Warsaw University of Technology Warsaw Poland
Show AbstractAlthough p-type diamond is routinely available using boron, n-type material via impurity doping during the growth of diamond has historically been limited in success. As a consequence, this has led to search for a suitable donor impurity which can be introduced into the diamond lattice and which has a donor level close enough to the conduction band minimum. The standard method for defect calculations is density functional theory (DFT) applied to supercell model. Unfortunately, almost all theoretical supercell calculations based on local density or gradient density approximations suffer from an important shortcoming of DFT, namely the failure to reproduce well the bandgap of semiconductors and insulators. The considerable underestimation of the bandgap leads to uncertainties in the calculated formation and activation energies of point defects and dopants, and their defect levels in the bandgap. To overcome this deficiency we will apply one of the higher level electronic structure methods based on hybrid functional - the revised Heyd-Scuseria-Ernzerhof range-separated hybrid functional [1], that have proven reliable for describing the electronic and structural properties of defects in semiconductors [2,3].
We present ab initio calculations on the structural and electronic properties of the crystaline diamond. In this work elemental dopants such as As and Sb are explored within hybrid functional approach. The problems of the low solubility of these dopants and the possible formation of highly stable V-As and V-Sb complexes [4] will be investigated in details. Also, the co-doping technique that combines properties of more than one defect which conspire to yield the desired electrical (or optical) properties will be investigated based on recently reported [5] possibilities in this topic.
[1] J. Heyd, G. E. Scuseria, and M. Ernzerhof, J. Chem. Phys. 118, 8207 (2003), and erratum ibid. 124, 219906 (2006).
[2] Advanced Calculations for Defects in Materials, edited by A. Alkauskas, P. Deak, J. Neugebauer, A. Pasquarello, and C. G. Van de Walle (Wiley-VCH, Weinheim, Germany, 2011).
[3] P. #346;piewak and K. J. Kurzyd#322;owski, Phys. Rev. B 88, 195204 (2013)
[4] J. P. Goss, P. R. Briddon, M. J. Rayson, S. J. Sque and R. Jones, Phys. Rev. B 72, 035214 (2005).
[5] U. Schwingenschlögl, A. Chroneos, C. Schuster, and R. W. Grimes, J. Appl. Phys. 110, 056107 (2011).
9:00 AM - DD5.06
Fabrication of Diamond-Like Carbon Emitter Patterns by Room-Temperature Curing Nanoimprint Lithography with PDMS Molds Using Polysiloxane
Shuji Kiyohara 1 Shogo Yoshida 1 Ippei Ishikawa 1 Toru Harigai 2 Hirofumi Takikawa 2 Masahiko Watanabe 3 Yoshinari Sugiyama 3 Yukiko Omata 3 Yuichi Kurashima 4
1National Institute of Technology, Maizuru College Maizuru Japan2Toyohashi University of Technology Toyohashi Japan3ELIONIX INC. Hachioji Japan4AIST Tsukuba Japan
Show AbstractThe diamond-like carbon (DLC) expected to have various applications. For example, it can be used emitter for flat panel display (FPD), micro gear for MEMS as electrical and mechanical applications respectively. Therefore, the nanopatterning technique for DLC films is essential to the fabrication of functional DLC based micro and nano devices.
The room-temperature curing nanoimprint lithography (RTC-NIL) using polysiloxane [HSG-R7-13, Hitachi Chemical Co., Ltd.] that we developed, which has certain advantages, including short steps, high throughput and low cost, and keeping molds from thermal expansion and contraction, compared with conventional thermal-cycle NIL. We have already investigated the micro patterning of DLC films in RTC-NIL, using glass-like carbon (GLC) molds, which have been fabricated with electron cyclotron resonance (ECR) O2 (oxygen) ion shower etching using polysiloxane in the electron beam (EB) lithography technology that we developed. However, we found that RTC-NIL process using GLC mold could not fabricate convex DLC emitter patterns because the fabricated GLC molds have the convex patterns. To overcome this problem, we proposed the use of polydimethylsiloxane (PDMS) molds which have reverse patterns of GLC molds. We investigated the fabrication of DLC emitter patterns by RTC-NIL using PDMS mold, as an application to the emitter for FPD.
The DLC (10 mm-square, 500 nm-thickness, 2 nm-arithmetic average roughness, ta-C: Tetrahedral amorphous carbon, Si substrate) which has excellent properties similar to diamond properties was used as a pattern material. A PDMS [KE-106, Shin-Etsu Chemical CO., Ltd.] was used as a mold material and fabricated by the following optimum conditions of 36 h-first curing time at room temperature and 15 min-second curing time at 150 #8451;. The polysiloxane is in the state of sticky liquid at room temperature and stable in air. Therefore, the polysiloxane was used EB resist and oxide mask material in EB lithography, and also used as RT-imprint material.
We fabricated the PDMS mold with concave dots. Each dot is 5 µm-diameter and 400 nm-depth. We carried out the RTC-NIL process using the PDMS mold under the following optimum imprint conditions of 0.5 MPa-imprinting pressure, 1.5 min-the time between spin-coat and imprint, and 5 min-imprinting time. The residual layer of polysiloxane film was removed with ECR CHF3 (trifluoromethane) ion shower under the conditions of 300 eV-ion energy and 3 min-etching time. We found that the maximum etching selectivity of polysiloxane film against DLC film was 6, which was obtained under 400 eV-ion energy. Then we processed the imprinted polysiloxane patterns on the DLC film with an ECR O2 ion shower at 12 min-etching time. As a result, we succeeded in fabricating convex DLC emitter patterns with high accuracy which has 5 µm-diameter and 500 nm-height.
9:00 AM - DD5.07
Electron Affinity of Cubic Boron Nitride Terminated with Vanadium Oxide
Yu Yang 1 Tianyin Sun 1 Joseph Shammas 1 Manpuneet Kaur 2 Mei Hao 1 Robert J. Nemanich 1
1Arizona State University Tempe United States2Arizona State University Tempe United States
Show AbstractCubic boron nitride (c-BN) is isoelectronic to diamond, and H-terminated c-BN has been shown to exhibit a negative electron affinity (NEA) surface, which may enable applications in thermionic and photon-enhanced energy conversion devices. The ability to withstand high temperature operation is an important factor in the thermionic emission applications. Theoretical and experimental studies have indicated that transition metal oxides can significantly influence the electronic properties of diamond. In this study, the presence of a thermally stable NEA for a c-BN surface with vanadium-oxide-termination is achieved. The c-BN films were prepared by electron cyclotron resonance plasma-enhanced chemical vapor deposition (ECR-PECVD) employing BF3 and N2 as precursors. Thin vanadium layers of ~0.1 and 0.5 nm were deposited on the c-BN surface in an electron beam deposition system. Oxidation of the metal layer was achieved by an oxygen plasma treatment. The resultant surfaces were analyzed using in-situ ultraviolet and X-ray photoelectron spectroscopy (UPS/XPS). After 650 0C thermal annealing, the vanadium oxide on the c-BN surface was determined to be VO2, and the surfaces were found to be thermally stable, exhibiting an NEA. In comparison, the oxygen-terminated c-BN surface, where B2O3 was detected, showed a positive electron affinity (PEA) of ~1.2 eV. The B2O3 evidently acts as a negatively charged layer introducing a surface dipole directed into the c-BN. Through the interaction of VO2 with the B2O3 layer, a B-O-V layer structure would contribute a dipole between the O and V layers with the positive side facing vacuum. The lower enthalpy of formation for B2O3 is favorable for the formation of the B-O-V layer structure, which provides a thermally stable surface dipole and an NEA surface.
This work is supported through the Office of Naval Research under Grant # N00014-10-1-0540, and the National Science Foundation under Grant # DMR-1206935.
9:00 AM - DD5.08
Enhanced Field Electron Emission and Plasma Illumination Properties from Hexagonal Boron Nitride/Nanocrystalline Diamond Heterostructures
Sankaran K J 1 D. Q. Hoang 1 K. Srinivasu 5 Stuart Turner 2 Paulius Pobedinskas 1 Sien Drijkoningen 1 Jo Verbeeck 2 Jan D'Haen 1 3 K. C. Leou 5 I-Nan Lin 4 Ken Haenen 1 3
1Institute for Materials Research (IMO), Hasselt University Diepenbeek Belgium2Electron Microscopy for Materials Science (EMAT), University of Antwerp Antwerp Belgium3IMOMEC, IMEC vzw Diepenbeek Belgium4Department of Physics, Tamkang University Tamsui Taiwan5Department of Engineering and System Science, National Tsing Hua University Hsinchu Taiwan
Show AbstractFollowing the discovery of excellent field electron emission (FEE) from carbon nanotube arrays, much attention has been paid to field emission from nanostructures. Both the large field enhancement factor and the low cost show signs of a promising future for these nanoscale emitters in the application of display devices and vacuum electronics, etc. Diamond films possess many desirable physical and chemical properties and have been the focus of intensive research since the successful synthesis of diamonds in the low pressure and low temperature chemical vapor deposition (CVD) process. Due to the negative electron affinity (NEA) characteristics of the surface of diamond films, diamond is considered to have great potential for application as electron field emitter. Besides, it has been found that NEA also appears on cubic and hexagonal boron nitride (BN) surfaces. A significant NEA was detected on an hBN surface treated with hydrogen or oxygen plasma. Therefore, hBN is expected to be a promising material for a cold cathode. Being encouraged by the unique possibility to combine two nanostructured materials, we fabricated novel bilayers where an hBN film is grown on a nanocrystalline diamond (NCD) one.
The NCD film is first grown by microwave plasma CVD, and then the hBN film is synthesized on the NCD film using a home built radio-frequency sputtering system. Superior FEE properties of the given structures are observed. FEE properties of hBN-NCD heterostructures show a high emission current density of 0.46 mA/cm2 at an applied field of 61.3 V/mu;m, and a low turn-on field of 35.5 V/mu;m compared to the 0.15 mA/cm2 emission current density (at an applied field of 91.6 V/mu;m) and 46.6 V/mu;m turn-on field for bare hBN. This enhancement in the field emission for hBN-NCD heterostructures originates from the unique materials combination, resulting in good electron transport from NCD to hBN and efficient field emission of electrons from the hBN nanowalls. The potential application of this heterostructure is demonstrated by the plasma illumination measurements where the lowering of the threshold voltage to 410 V confirms the role of hBN-NCD heterostructures in the enhancement of electron emission.
K. J. Sankaran is a Pegasus Postdoctoral Fellow of the Research Foundation - Flanders (FWO Vlaanderen).
9:00 AM - DD5.09
Fabrication of Diamond-Like Carbon Microgears in Room-Temperature Curing Nanoimprint Lithography Using Ladder-Type Hydrogen Silsesquioxane
Shuji Kiyohara 1 Yuto Shimizu 1 Ippei Ishikawa 1 Toru Harigai 2 Hirofumi Takikawa 2 Masahiko Watanabe 3 Yoshinari Sugiyama 3 Yukiko Omata 3 Yuichi Kurashima 4
1National Institute of Technology, Maizuru College Maizuru Japan2Toyohashi University of Technology Toyohashi Japan3ELIONIX INC. Hachioji Japan4AIST Tsukuba Japan
Show AbstractThe diamond-like carbon(DLC)has been conventionally used to coat such things as the surface of cutting tools and artificial joints because it has exhibited unique properties such as high hardness, high wear resistance and corrosion resistance; and so it is expected to have various applications. For example, it can be used as DLC based microgears for medical MEMS. Therefore, the nanopatterning technique for a DLC is essential to the fabrication of functional micro/nano devices.
We have already investigated the nanopatterning of chemical vapor deposited (CVD) diamond films in room-temperature curing nanoimprint lithography (RTC-NIL), using glass-like carbon [GLC (10 mm-square, 3.2 mm-thickness, 1.6 nm-arithmetic average roughness), PXG-35, Hitachi Chemical Co., Ltd., Japan] mold, and then we fabricated the DLC [ta-C : tetrahedral amorphous carbon, 10 mm-square, 500 nm-thickness, 2 nm-arithmetic average roughness] film which has concave microgear patterns with high accuracy in RTC-NIL using polysiloxane. However, RTC-NIL process using GLC mold could not fabricate the DLC film with convex microgear patterns. To overcome this problem, we proposed the use of polydimethylsiloxane (PDMS) mold with concave microgear patterns and also the use of ladder-type hydrogen silsesquioxane (HSQ) as RT-imprinting material which we can expected to be pressed under low imprinting pressure, compared with cage-type HSQ. We investigated the fabrication of DLC based microgears in RTC-NIL using the HSQ, as an application for the medical MEMS.
The HSQ [OCNL 103 T-2 13000, TOKYO OHKA KOGYO Co., Ltd.] which is an inorganic polymer of sol-gel system turns into a gel when exposed to air and has the siloxane bond. Therefore, the HSQ was used as RT-imprinting material, and also used as an oxide mask material in electron cyclotron resonance (ECR) O2 ion shower etching. We fabricated the PDMS mold with concave microgear patterns which has 50 mu;m-tip diameter and 300 nm-depth. We carried out the RTC-NIL process using the PDMS mold under the following optimum conditions of 0.1 MPa-imprinting pressure and 1 min-imprinting time. We found that the maximum etching selectivity of the HSQ film against the DLC film was 5, which was obtained under 400 eV-ion energy. The residual layer was removed with ECR CHF3 ion shower under the following conditions of 300 eV-ion energy and 4 min-etching time, and then microgear patterns of the HSQ on the DLC film were processed with ECR O2 ion shower under the following conditions of 400 eV-ion energy and 12 min-etching time. The convex DLC based microgear patterns, which have 50 mu;m-tip diameter and 500 nm-height were fabricated with high accuracy.
9:00 AM - DD5.10
Thermal Conductivity Characterization of Foaming Porous Copper and Porous Diamond-Copper
Hongdi Zhang 1 Tongxiang Fan 1
1Shanghai Jiao Tong University Shanghai China
Show AbstractBiporous copper was successfully fabricated through tape casting. C7H10N2O2S (0-1.5wt.%) was used as foaming agent for the one-step foaming method, while 18%-72wt% of C18H36O2 and 1.5wt% of C7H10N2O2S were used as foaming agent for the two-step foaming method. To optimize the preparation process, foaming agent content, sintering temperature and holding time were considered. Thermal conductivity characterization was evaluated by capillary performance and gas permeability. The results indicate that increasing the content of the foaming agent increases the pumping rate, while porosity and gas permeability fluctuate for the one-step method. In contrast, porosity, pumping rate and gas permeability increase with an increase in C18H36O2 content but with a decrease in sintering time for the two-step method. Fractal dimension was also used to estimate the biporous distribution and size. Porous copper was fabricated into a heat pipe under optimal conditions. The optimal planar heat pipe resistance was 0.1834 K/W, temperature differential was 8.9 0C, and equivalent thermal conductivity was 1457 W/mmiddot;0C. It is suggested that biporous copper prepared by tape casting may be an effective wick structure for heat pipes. Porous diamond-copper was achieved through the foaming method. Before combining the diamond, copper and foaming agent, the diamond was metalized with carbide forming elements. Thermal conductivity can be increased with the addition of high thermal conductive diamond.
9:00 AM - DD5.11
Charge Transport and Carrier Lifetime Properties of Single Crystal Diamond Irradiated with Swift-Heavy Ion Beams
Ayan Bhattacharya 1 Andreas Stolz 2 Timothy A. Grotjohn 1
1Michigan State University East Lansing United States2Michigan State University East Lansing United States
Show Abstract
Diamond has outstanding mechanical, electrical and optical properties, which inherently makes it a radiation tolerant material at extreme radiation environment. Single crystal diamond has a large bandgap (~ 5.47 eV), large atomic displacement energy (~ 43eV) and high electric breakdown field ( 107 V cm-1). The application of diamond for high energy radiation detector has become an emerging field in the last few decades. Single crystal diamond plates grown at Michigan State University (MSU) by microwave assisted chemical vapor deposition (MPACVD) are used to develop detectors for swift heavy ion beams. Detection performance of the samples were studied by irradiating them with swift heavy ion beams in the range of 100-150 MeV/u at the National Superconducting Cyclotron Laboratory (NSCL) at MSU. In addition to the diamond plates grown at MSU, commercially available electronic grade plates were also fabricated into detectors and tested in the same radiation environment.
Post irradiation, the charge transport properties of the detectors were studied by the transient-current technique (TCT). A 232U α-particle source was used to collimate particles through an aperture of 1-mm diameter onto heavily and lightly irradiated segments of the diamond detectors. Current pulse shapes were studied in the range of 0.1 V/mu;m - 1.1 V/mu;m applied field. The current pulse shapes of both electron and holes of the irradiated detectors were also compared to the signals collected from a detector fabricated by a non-irradiated electronic grade diamond. Due to radiation induced damage, a significant drop of charge collection and carrier life time was observed in the heavily irradiated segment in comparison to the lightly irradiated segment.
9:00 AM - DD5.12
Modeling of Transition Metal Color Centers in Diamond
Nick Gothard 1 Douglas Dudis 2 Luke J Bissell 2
1University of Dayton Research Institute Dayton United States2Air Force Research Laboratory Dayton United States
Show AbstractDiamond stands out among single-photon sources due to an intrinsically large band gap, efficient electrical excitation, the ability to host bright optical centers, photo-stable emission, room-temperature operation, short excited state lifetimes, and the ability to host hundreds of different color centers. Currently, most of these centers are active in the optical spectrum, but a single-photon source in the IR would represent a significant advancement. In pursuit of this end, the effects of a number of different transition metal atoms upon the diamond lattice have been investigated via cluster calculations using the General Atomic Molecular and Electronic Structure System (GAMESS) code. The importance of cluster size and electron correlation effects is considered, and time-dependent DFT and multi-configurational SCF approaches are compared.
9:00 AM - DD5.14
2D and 3D Models of Diamond Growth Using Kinetic Monte Carlo Modelling
Paul William May 1 Neil L. Allan 1 Jeremy N Harvey 1 W Jeff Rodgers 1
1Bristol University, School of Chemistry Bristol United Kingdom
Show AbstractA three-dimensional kinetic Monte Carlo model has been developed to simulate the chemical vapor deposition of a diamond (100) surface under conditions used to grow single-crystal diamond (SCD), microcrystalline diamond (MCD), nanocrystalline diamond (NCD), and ultrananocrystalline diamond (UNCD) films. The model includes adsorption of CHx (x = 0, 3) species, insertion of CHy (y = 0-2) into surface dimer bonds, etching/desorption of both transient adsorbed species and lattice sidewalls, lattice incorporation, and surface migration but not defect formation or renucleation processes. We find that SCD and MCD growths are dominated by migration and step-edge growth, whereas in NCD and UNCD growths, migration is less and species nucleate where they land. Etching of species from the lattice sidewalls has been modelled as a function of geometry and the number of bonded neighbors of each species. Choice of appropriate parameters for the relative decrease in etch rate as a function of number of neighbors allows flat-bottomed etch pits and/or sharp-pointed etch pits to be simulated, which resemble those seen when etching diamond in H2 or O2 atmospheres. Simulation of surface defects using unetchable, immobile species reproduces other observed growth phenomena, such as needles and hillocks.
9:00 AM - DD5.15
Further Progress in Diamond Microplasmas
Paul William May 1 Benjamin S Truscott 1 Neil A. Fox 1
1Bristol University, School of Chemistry Bristol United Kingdom
Show AbstractMicroplasmas are electrical discharges wherein one of the critical dimensions is <1 mm. Hollow-cathode microdischarges can achieve high densities with only moderate power input, and as their size decreases, their working pressure typically increases; indeed, for cavities with dimensions ~100 µm, operation has been demonstrated at atmospheric pressure. Arrays of microplasmas have a variety of potential applications, including removing contaminants from air supplies in enclosed environments (submarines; spacecraft), as flat panel light sources (especially of near monochromatic light), as large-area UV sources, and as small-scale flow reactors for chemical processing.
We recently presented the first results from an all-diamond microplasma device, in which the electrodes and the insulating dielectric were fabricated from boron-doped and undoped diamond, respectively, with the cavity formed by laser drilling a hole through all three layers. These devices operated at about 1 W d.c. power, and exhibited a sustaining voltage of 300-400 V for operation in up to 1 atm pressure helium.
We now present data from the second and third generation of these devices, with improved design and more comprehensive electrical diagnostics. The new devices are able to operate over a very wide range of currents and at up to 10 atm in He, striking reliably and functioning stably for many hours.
We find that the device Paschen curves (p×d vs V) closely resemble those for a Townsend discharge between parallel plate electrodes, despite the hollow cathode-type geometry. Current-voltage (I-V) characteristics are almost flat for all pressures and regardless of cavity dimensions, with sustaining voltages being typically 250-300 V over the range I = 4-15 mA, and only small differences arising with variations of pressure and discharge current.
In summary, we have now demonstrated, high pressure, high power density non-equilibrium glow discharges in monolithic diamond devices, with asymp; 30 min continuous operation at 9.5 atm being achieved for the smallest (asymp; 50 µm) cavities. Operation at lower pressure and discharge current extends the device lifetime to days, and potentially weeks. We have also demonstrated plasma formation in 2D slots (200 µm wide × 1 mm long) and small (2×2; 3×3) cavity arrays.
9:00 AM - DD5.16
Stability of Operation of Atmosphere-Exposed, Hydrogen-Terminated Diamond FETs under Constant Operation
David Andrew Macdonald 1 Alexandre Tallaire 2 Claudio Verona 3 Ernesto Limiti 3 David A.J. Moran 1
1University of Glasgow Glasgow United Kingdom2French National Centre for Scientific Research Paris France3University of Rome Tor Vergata Rome Italy
Show AbstractDiamond is an interesting material for high power FET fabrication owing to its high breakdown field of >10MVcm-1[1] and high thermal conductivity of 22Wcm-1K-1[2]. It is also suited for operation in extreme environments due to its robustness, chemical inertness and radiation resistance [3]. For high power operation achieving a large output current at a large operation voltage is desirable. Any mechanisms therefore that result in reduced output current at maximum drain bias voltage would be detrimental to device performance.
Hydrogen terminated diamond FETs take advantage of surface transfer doping using atmospheric adsorbates as a charge transfer layer resulting in the formation of a 2DHG channel below the diamond surface. This has been shown to be unstable, with atmospheric adsorbates sublimating from the surface around 200 °C [4]. Variations in the properties of the charge transfer layer can result in diminished carrier concentration reducing current transport through the device.
This work presents the DC response with time of both un-gated and gated (FET) structures on hydrogen terminated single crystal diamond under constant voltage biases. It is believed that this is the first study of this kind pertaining to the stability of diamond FETs. Degradation of current over extended periods of constant operation has been observed experimentally. The nature of the observed IV response of both un-gated and gated structures appears to be an inverse exponential decrease of up to 10% in 10 minutes of continuous operation. It is suggested that the method of current degradation is due to charging of the structures with positive charge as a result of charge trapping. As a similar response is achieved from both un-gated and gated structures it is also proposed this trapping happens at the interface between surface adsorbate and diamond surface. Extended operation can result in increased temperature of the structures, however diamond&’s high thermal conductivity could counter act this by dissipating heat effectively, although it appears this has not yet been verified experimentally.
This investigation will continue with varying gate and drain biases to determine the whether the reduction is determined by the magnitude of potential across the device. Devices of different widths will also be tested to determine if the absolute non-normalized current is a factor in total current reduction.
[1] - Wort, C. J, et al., (1999), Proceedings of SPIE 3705 p.119
[2] - Umewaza, H. et al., (2014), Diamond Metal-Semiconductor Field-Effect
Transistor with Breakdown Voltage over 1.5 kV, IEE device letters, 35, p1112
[3] - Blakemore, J. S., (1985), Second edition, Solid state Physics. Cambridge University Press,
[4] - Landstrass, M.I., Ravi, K. I. (1989), Hydrogen passivation of electrically active defects in diamond, Appl. Phys. Lett., 55, p1391
9:00 AM - DD5.17
Investigation into the Minimum Feature Size for Reactive-Ion Etched (RIE) Micro and Nano-Scale Polycrystalline Diamond Mechanical Resonators.
Andrew William McGlone 1 Oliver A. Williams 2 David A.J. Moran 1
1University of Glasgow Glasgow United Kingdom2Cardiff University Cardiff United Kingdom
Show AbstractOwing to its unique mechanical properties such as high acoustic velocity (18,024 m/s) [1] and high thermal conductivity (2100 W/mK) [2], diamond is an attractive candidate for use in micro-electro-mechanical systems (MEMS) devices and is implemented in numerous ways including micro-switching and mass detection. Reported is a process developed to fabricate and characterise cantilever shaped resonant structures of varying mass and dimension.
Polycrystalline diamond samples with grain size sub-500 nm are produced by microwave plasma chemical vapour deposition on a silicon substrate in a 1% CH4/H2 environment. Samples are patterned using a Vistec VB6 UHR EWF electron beam lithography tool. Using an Al or HSQ mask, the patterned samples are etched in an RIE tool with an O2/Ar gas mixture returning an anisotropy of ~80#730;. The etched diamond structures are released from the silicon substrate by wet etching the sample in KOH. The mass of the structures are scaled down until mechanical failure is observed, demonstrated by degradation of frequency response and Q factor. The structures are actuated in air by mechanical force from either compressed air or a piezo clamp and resonant frequency and Q factor observed by means of a vibrometer. Experimental results are compared with simulations and sample specific values for Young&’s modulus are reported.
1Bolz R E “CRC Handbook of Tables for Applied Engineering Science” CRC Press (1973)
2Wort C J H “Thermal properties of bulk polycrystalline CVD diamond” Diamond and Related Materials 3 (1994) 1158-1167
9:00 AM - DD5.18
Oxygen Terminated Diamond Metal Oxide Semiconductor Capacitor: An Interface States Study
Thanh Toan Pham 1 Aurelien Marechal 1 2 Nicolas Rouger 2 David Eon 1 Pierre Muret 1 Etienne Gheeraert 1 Julien Pernot 1 3
1Institut Neacute;el CNRS-UGA Grenoble France2G2ELab CNRS-UGA Grenoble France3Institut Universitaire de France Paris France
Show AbstractDue to its superior physical properties, diamond is considered as the best candidate for high voltage, high efficiency and high temperature power electronics devices. The recent developments in epilayer growth and doping control have offered the possibilities to develop efficient diamond devices.
Metal Oxide Semiconductor (MOS) capacitor based on oxygen terminated Diamond has been recently fabricated thanks to Al2O3 deposit by atomic layer deposition (ALD)1. The control of the carrier population at the interface between oxide and diamond has been demonstrated by using the gate electrode1. This achievement opens the route for realization the MOS Field Effect Transistor (MOSFET). However, understanding the physical insight of the device, especially the interface between diamond and oxide is still essential and prerequisite for any further improvement.
Here, in order to investigate the diamond oxide interface, impedance spectroscopy2,3 measurements versus DC gate bias, temperature and frequency were performed on diamond MOS. The results extracted from Nyquist and Bode plots will be discussed and compared to CV measurements in order to determine interface traps properties (density, energy level, and location in the structure).
References:
1 G. Chicot et al, A. Maréchal, R. Motte, P. Muret, E. Gheeraert, and J. Pernot, Appl. Phys. Lett., 102 242108, (2013).
2 X. Li, Y. Cao, D.C. Hall, P. Fay, X. Zhang, and R.D. Dupuis, J. Appl. Phys. 95, 4209 (2004).
3 M. Liao, J. Liu, L. Sang, D. Coathup, J. Li, M. Imura, Y. Koide, and H. Ye, Appl. Phys. Lett., 106, 083506 (2015).
9:00 AM - DD5.19
Future Diamond MOSFETs for Power Electronics
Philippe Bergonzo 1 Richard B Jackman 3 Julien Pernot 2 David Eon 2 Etienne Gheeraert 2
1CEA LIST Saclay Gif Sur Yvette France2Neel Institute Grenoble France3London Centre for Nanotechnology London United Kingdom
Show AbstractWithin its supportive action under program H2020, Europe has recently granted support to the GREENDIAMOND project, that gathers 14 partners towards the development of single crystal diamond structures aiming a the fabrication of a MOSFET power converter. Based on the recent demonstration of a MOS structure fabricated on diamond1, the consortium aims at assembling of a complete transistor to be used in high voltage applications: target prototypes aim at devices compatible with 6.5kV and 10kV operating voltages.
The project ultimately aims at the fabrication of high voltage converters that overtakes Si, SiC and GaN transistor performances in terms of high voltages and current densities, and compatible with harsh operating environments. The prototypes to be developed aim at high temperature operations (<250°C) and high switching capabilities (5kHz). The project started on May 2015 for a duration of 4 years. This poster will describe the context, the consortium, and the project objectives.
1 G. Chicot et al, “Metal oxide semiconductor structure using oxygen-terminated diamond”, Appl. Phys. Lett. 102 , 242108 (2013) ; http://dx.doi.org/10.1063/1.4811668.
9:00 AM - DD5.20
Vertical Diamond Schottky Barrier Diode with Fluorine-Terminated
Chao Hu 1 Jingwen Zhang 1 Wei Wang 1 Shuoye Li 1 Zhangcheng Liu 1 Fang Lin 1 Hong-xing Wang 1 Xun Hou 1 Renan Bu 1
1Xi'an Jiaotong University Xi'an China
Show AbstractDiamond is a promising semiconductor for the realization of high power and high frequency devices. It has outstanding properties, such as a wide band gap (5.5 eV), high breakdown field (10 MV/cm), high thermal conductivity (22 W/(mmbull;K)), and high hole and electron mobility (3800 cm2/(V#8729;s) and 4500 cm2/(V#8729;s), respectively), that are much better than those of silicon and silicon carbide. This has encouraged researchers to extensively investigate diamond semiconductor fabrication technologies, such as high quality diamond film growth, diamond n- and p-type doping, device designing, etc.
Diamond surface can be terminated by hydrogen, and the value of electron affinity is -1.3eV. On the contrary, diamond surface also can be terminated by oxygen and fluorine, and it shows positive electron affinity. Moreover, when diamond surface is terminated by fluorine, the value of electron affinity is higher than it is terminated by oxygen. That means, Schottky contact on fluorine-terminated diamond will show higher Schottky barrier.
In this work, the vertical diamond Schottky barrier diode with fluorine-terminated is analyzed. The drift layer was grown on p+ layer(200ppm) by microwave plasma chemical vapor deposition. Then the fluorine-terminated was formed on diamond surface by CF4 plasma. Schottky contacts (Hf/Au) were deposited on the diamond film by magnetron sputtering, and ohmic contacts (Pd) were prepared on the diamond film by vacuum thermal evaporation. The detail will be reported in the presentation.
9:00 AM - DD5.21
Impact of ICP Etching on the Formation of Surface Defects on Hydrogen Terminated Diamond
Kevin George Crawford 1 Alexandre Tallaire 2 David A.J. Moran 1
1Univ of Glasgow Glasgow United Kingdom2Universiteacute; Paris 13 Paris France
Show AbstractThe transfer doping mechanism used to generate charge carriers in hydrogen terminated diamond occurs at the interface between the diamond surface and an adjacent material. This process is likely influenced by increased values of surface roughness, polishing induced crystal damage and reduced hydrogen coverage which may impact the electrical performance [1].
Bulk dislocations can occur during crystal growth, while surface damage may occur from polishing. These non-sp3 bonded dislocations at the surface are susceptible to etching by hydrogen plasma. The etching of pits on the surface of diamond after hydrogen treatment is a known phenomenon; work by [2] showed defects at the surface can be revealed by H2/O2 etching with low amounts of oxygen, presenting as pits in the form of inverted pyramids.
Single crystal [100] CVD plates acquired from Element Six are subject to an acid cleaning process before treatment in hydrogen plasma. Surface scans using Atomic Force Microscopy (AFM) on samples prior to hydrogen treatment show polishing grain and a Ra of ~0.7nm for a 5um scan window. After acid cleaning and hydrogen termination the surface exhibits etch pits which increases Ra values to greater than 3nm. The pits present as inverted pyramids and are typically ~18nm deep and ~450nm wide.
In this work defects of polished samples are reduced by use of reactive ion etching (ICP) after polishing to remove damaged layers. AFM scans were taken of a polished diamond sample which had been etched by ICP at a rate of approximately 10nm per minute using Ar/Cl2. The etching mechanism of diamond using Ar/Cl2 plasma is reported to be: C + Cl2→CClx. Ar ions sputter the diamond surface enabling the reaction of chlorine with carbon atoms to form the volatile etch product CClx[3]. A Ra value of ~0.7nm was measured after polishing and a reduced value of ~0.5nm obtained after etching. This indicates the process can be used to smooth the diamond surface due to isotropic etching.
Attempts were made to reduce existing etch pits on an already hydrogen terminated sample by use of ICP etching. AFM scans show that while the pits are not removed once already formed, due to isotropic etching the pits can be made shallower and the surface smoother. Polished samples etched with Ar/Cl2 are then hydrogen terminated and compared to non-etched samples. The formation of large ~450nm wide pits is supressed for the etched samples. Instead much smaller pits are formed ~25nm wide and ~4nm deep.
In conclusion ICP etching has been demonstrated as a method of removing several microns from the diamond surface without increasing roughness, this can be employed as a means of removing polishing defects. Future work will investigate the impact on electrical performance of surface etching prior to hydrogen termination.
[1] C. Nebel, New Diam. Front, vol 15, 2005
[2] M. Naamoum et al, Phys. Sta. Soli, vol 209, 2012
[3] C. L. Lee, et al, vol 17, 2008
9:00 AM - DD5.22
A Diamond Film-Based Beta Radiation Sensor
Javier Morales 1 Javier Almaguer 2 Victor M. Castano 3
1Universidad Autonoma de Nuevo Leon San Nicolas de los Garza Mexico2Universidad Autonoma de Nuevo Leon San Nicolas de los Garza Mexico3Universidad Nacional Autonoma de Mexico, Centro de Nanociencias y nanotecnologia Queretaro Mexico
Show AbstractDiamond films exhibits excellent properties as high thermal conductivity and low electrical conductivity, due to phonon phenomena. Also, its strong valence bonds allow gap values up to 5.4 ev. When diamond films are exposed to beta radiation, some electrons move from the valence band to the prohibited band, higer than Fermi equilibrium line. In this new energetic state the electrons lies for a long time until diamond is heated , thus, the electrons go back to the valence band following a Weibull distribution [1].
In this work an algorithm to estimate beta radiation dose is developed considering the inverse problem for the Weibull distribution, the measurement of three temperatures and thermoluminescence experimental data. Showing the use of diamond thin films as beta radiation sensor.
[1] Thermoluminescence analysis and estimation of the kinetics parameters by the Weibull distribution in a diamond like carbon Film. J. Morales et al. copy; Springer-Verlag Wien 2013 Computing DOI 10.1007/s00607-013-0296-2
9:00 AM - DD5.23
Adaptable Wireless Bacteria Nanosensor Based on Au/Graphene
Rafael Velazquez 1 Zuania Cordero 1 Barbara Judith Avalos 1 Coral Ramos 1 Juan C Villalobos 1 Khaled Habiba 1 Frank Mendoza 1 Javier Avalos 1 Darinel Ortiz 1 Gerardo Morell 1 Brad R. Weiner 1
1Univ of Puerto Rico San Juan United States
Show AbstractHospital and health communities need a more effective electronic bio-interface to better reduce or eliminate bacterial threat. The biosensor industry fabricates solid devices that are not suitable for biological surfaces such as buccal gums, skin or any other humid surface. The biological surfaces mentioned above are irregular, for that reason the sensor must possess the ability to attach conformally to such surfaces. In order to solve this problem, we propose a flexible resonance wireless sensor that will detect bacteria and their population density. The bio-sensor consists of a printed radiofrequency wireless sensor made of gold, to which graphene and peptides were incorporated. This part of the sensor is attached to a Kapton substrate, allowing the biotransfer of the sensors onto the biomaterials in a simple and efficient manner. Adding graphene to the nanosensor capacitor significantly increased its sensibility towards detecting differential concentrations of bacteria. Additionally, it is essential to state that the peptides also served their function of attaching to the E. coli, thus increasing the amount of the bacteria that the nanosensor was able to detect on the surface of the capacitor. These observations are supported by the ANOVA statistical analysis in which significant results were obtained with a p value < 0.05 at a given Ghz frequency with an optical density of 1 and 2. We also observed a trend towards more significant changes in signal phase of the sensor as we increase the frequency. Both the graphene and peptide increased the sensibility of the nanosensor towards detecting the presence of E.coli and its concentration. This innovative platform to build nanosensors for biomedical applications will improve bioelectronics for interface monitoring.
9:00 AM - DD5.24
Comparative Study of Buried-In Electrode Diamond UV Photodetector with Different Electrode Materials
Zhangcheng Liu 1 Fengnan Li 1 Wei Wang 1 Jingwen Zhang 1 Fang Lin 1 Hong-xing Wang 1 Xun Hou 1
1Xi'an Jiaotong University Xi'an China
Show AbstractDiamond is an extraordinary candidate for ultraviolet photodetectors, allowing for its wide band-gap, high carrier mobility, radiation hardness and thermal stability, which will provide natural selectivity between visible light and ultraviolet light. From polycrystalline diamond to single crystal diamond, all kinds of photo-detectors have been widely studied, including interdigitated-finger metal-semiconductor-metal photoconductor, Schottky photodiode, metal-diamond-vacuum photo-detector and MESFET phototransistor. These detectors have one thing in common that their electrodes were fabricated in planar structure. Recently, laser-processed three-dimensional graphitic electrodes have been made in the bulk of diamond for radiation detectors in some studies. Our previous work applied three-dimensional metal electrodes in diamond photodetector and found a responsivity improvement. Because only W was used in the photodetector, more work can be done to optimize the performance.
In this report, a comparative study was taken on different metal electrodes in diamond three dimensional photodetector, including Au, Pd, Ti and W. The undoped epitaxial layers on type-Ib diamond substrates were used. After the growth of single crystal diamond epitaxial layer, oxygen plasma reactive ion etching was used to form orthogonal holes penetrating into the diamond. Then, different metal electrodes were patterned through standard photolithorgraphy process. Furthermore, the performance of the photodetector has been studied with semiconductor analysis system. For comparison, traditional planar structure was also fabricated on the same sample. Further details will be disclosed in the conference.
Main Reference
[1] Meiyong Liao, Liwen Sang, Tokuyu Teraji, et al. Jpn. J. Appl. Phys, 51(2012), 090115.
[2] Y. Iwakaji, M. Kanasugi, O. Maida, et al. Appl. Physics. Lett., 94, 223511, 2009.
[3] Benoit Caylar, Michal Pomorski, Philippe Bergonzo. Appl. Physics. Lett., 103, 043504, 2013.
[4] Alexander Oh, Benoit Caylar, Michal Pomorski, et al. Diamond Relat. Mate., 38, 2013,9-13.
9:00 AM - DD5.25
Prototype Based on Carbon Nanotubes and Graphene for the Detection and Photothermal Therapy of Cancer
Rafael Velazquez 1 Javier Avalos 1 Juan C Villalobos 1 Ismael Jimenez 1 Darinel Ortiz 1 Patricia Figueroa 1 Gerardo Morell 1 Brad R. Weiner 1
1Univ of Puerto Rico San Juan United States
Show AbstractAccording to the Annual Report on Cancer of the World Health Organization, it indicated that in 2008, there were 12.4 million new cases and 7.6 million deaths from the disease itself were recorded around the world. Some of the treatments for cancer include surgery, chemotherapy, radiation therapy and combinations of them. These are not entirely effective, some cancer cells are resistant to the use of such drugs. This prototype aims to create an effective alternative for the detection and therapy against this disease. Recently, with the advance of nanotechnology, we have synthesized new nanomaterials, which have various applications towards the detection and therapy of cancer.
This wireless radio frequency sensor (RF) is based on carbon nanotubes (CNTs) and graphene (Gr), to allow a timely detection of cancer cells and permit a selective destruction by thermal ablation (AT) due to the excitement of CNT with harmless radiation of radio frequency (RF) and near infrared (NIR).
The sensor is a gold resonant coil LRC circuit for wireless transmission and in its capacitive component NTC and Gr are deposited and functionalized. The detection is based on a change in the detected RF signal with respect to that emitted by the sensor, when a cancer cell is present. Photothermal therapy will rely on the excitement of CNT with NIR (800-1100nm), NTC will then absorb radiation and convert it into heat, which will also occurs if they are excited with RF (3.0 - 30 MHz).
9:00 AM - DD5.26
Boron Doped Nanocrystalline Diamond/Reticulated Vitreous Carbon Composites Decorated with Silver Nanoparticles
Silvia Sizuka Oishi 1 Andrea Boldarini Couto 1 Edson Cocchieri Botelho 2 Mirabel Cerqueira Rezende 3 Neidenei Ferreira 1
1Instituto Nacional de Pesquisas Espaciais - INPE Satilde;o Joseacute; dos Campos Brazil2UNESP Guaratingueta Brazil3UNIFESP Satilde;o Joseacute; dos Campos Brazil
Show AbstractReticulated vitreous carbon (RVC) is a multifunctional material with a wide range of applications due to its high surface area, rigid structure, low resistance to fluid flow, and high thermal and electrical conductivities. RVC can be used as matrix for boron doped nanodiamond (BDND) growth by combining these material properties such as the RVC large surface area and the diamond singular properties. This means a novel composite material for electrochemical applications. Specifically, BDND films have been explored due to its high surface/volume ratio with higher electrical conductivity. In addition, to enhance the BDND electrocatalytic activity for certain species, the deposition of metallic nanoparticles may be considered. In this context, silver nanoparticles have found important applications since they have useful properties such as electrical conductivity, chemical resistance, bactericidal, and catalytic activity. Therefore, in this work silver nanoparticles were electrodeposited on RVC and BDND/RVC composites. The influence of the RVC substrate heat treated at 1000 °C and 1700 °C was evaluated in the deposition of BDND films as well as in the silver nanoparticle depositions. RVC was processed using poly(furfuryl alcohol) resin synthesized according to the best condition established previously. BDND films were grown by hot filament chemical vapor deposition (HFCVD) technique, with a controlled Ar/H2/CH4 mixture and 18 h of deposition time. The boron doping was performed by an additional hydrogen line passing through a bubbler containing B2O3 dissolved in CH3OH with B/C ratio of 30,000 ppm. All electrochemical experiments were performed in a conventional three electrode glass cell. Ag nanoparticles were electrodeposited under potentiostatic mode, at -0.4 V vs Ag/AgCl for 10 s, in a 5 mmol L-1 AgNO3 solution and 0.1 mol L-1 KNO3. BDND/RVC composites were obtained with success, with good quality BDND films evaluated by Raman and scanning electron microscopy. Energy dispersive spectroscopy and X-ray diffraction confirmed the silver deposition on RVC1000 and RVC1700, in addition to BDND/RVC1000 and BDND/RVC1700 composites. All Ag modified surfaces presented homogeneous nanoparticles dispersion, with agglomerates of irregular shape. RVC1000 presented the lowest Ag mass deposition compared to those for other electrodes. This behavior can be related to its lowest conductivity.
9:00 AM - DD5.27
The Role of Carboxylated Nanodiamond in the Stability of Gamma-Irradiated Red Blood Cells
Jose Andre-i Sarabia-Sainz 1 M. Acosta-Elias 1 Erika Silva-Campa 1 Aracely Angulo-Molina 1 Alexel Burgara-Estrella 2 Antonio Rascon-Careaga 1 Alberto Badell-Luzardo 1 Diego Soto-Puebla 1 Susana Alvarez-Garcia 1 Martin Pedroza-Montero 1
1Universidad de Sonora Hermosillo Mexico2Centro de Investigacioacute;n en Alimentacioacute;n y Desarrollo AC Hermosillo Mexico
Show AbstractThe nanodiamonds (NDs) are biocompatible materials with excellent physical and chemical properties. NDs have been used as vehicle for drug delivery, and also as bioprobes due to their intense fluorescent and Raman signals. Reports suggest that the interaction of NDs with human cell, specifically red blood cells (RBC) do not affect negatively for biomedical applications. The study of RBC under stress condition is exceptional opportunity for understood the influence of NDs in this biological system. Irradiation of blood is a common process to prevent of graft versus host disease depending of the ionizing dose; the cells may be affected in their integrity and function. Medical doses of ionizing radiation induce water radiolysis, and then the oxidation of DNA, lipids, amino acids and carbohydrates. These reactive products generate alterations on the membrane permeability. It is widely accepted that RBCs are resistant to gamma radiation damage at 25-50 Gy, but some not accounted structural changes are present. Moreover, the exposition of RBCs plus cNDs to gamma irradiation improves both mechanical and biochemical properties. In this work, we provided new experimental insights of the interaction of carboxylated-NDs with gamma irradiated RBCs. We analysed the antiradical activities of cNDs as antioxidant using 2,2-Diphenyl-1-picrylhydrazyl assays. Also, we determinate the RBCs membrane integrity by osmotic fragility test. Additionally, measures the reoxygenation ability of hemoglobin protein was done by Raman microspectroscopy. The experimental data shows that the addition of carboxilated NDs modifies the radiolysis of water and reduces the formation of free radicals resulting in a radiation-shielding effect on RBCs membrane functions.
9:00 AM - DD5.28
Nanodiamond Coated Fiber Bragg Grating Sensors
Joao Lemos Pinto 1 4 Chunjiu Tang 2 Gang Yang 3 Micael Nascimento 1 4 Susana Novais 1 4
1Aveiro University Aveiro Portugal2Changshu Institute of Technology Changshu China3Changshu Institute of Technology Changshu China4I3N Aveiro Portugal
Show AbstractA novel fiber Bragg grating sensor for high temperature applications by depositing nanodiamond coatings onto high temperature resistant FBG sensors will be presented. Nanodiamond deposition onto optical fibers has been achieved using a 5 KW MPCVD system. One of the issues is how to uniformly deposit diamond with good adhesion onto small cylindrical shaped optical fibers. For practical application nanodiamond enhanced FBG sensors have been used for in-situ measurement of the absolute substrate temperature during diamond deposition in MPCVD system. The relationship between the film properties (such as morphology, grain size and microstructure) and the growth conditions (microwave power, pressure and temperature) will be analyzed and discussed. The nanodiamond films have been deposited on high temperature resistant FBG sensors using the same growth parameter windows established for tailored nanodiamond growth on normal optical fibers. The optical properties (refractive index, response and sensitivity) of the nanodiamond coated FBG sensors have been measured. The relationship between film properties and the optical properties of coated sensors will be presented in the perspective of optimization of the deposition of nanodiamond films.
9:00 AM - DD5.29
Characterization of the Bulk and Surfaces of Diamonds for X-Ray Detector and Electronic Device Applications
John Morse 1 Juergen Haertwig 1 Thu Nhi Tran Thi 1
1ESRF Grenoble France
Show AbstractIn addition to its attractive electronic properties as a wide bandgap semiconductor, diamond has a low atomic number (Z=6) and consequently is a material which is highly transmissive to X-rays. In combination with its unsurpassed thermal conductivity, this makes diamond the material of choice for both synchrotron X-ray optics and beam-monitoring applications. Thin plates of diamond can be placed in X-ray beams of energies ~10keV as they result in beam attenuation of only a few percent , and with suitable cooling they can withstand continuous exposure over many years even to intense 'white' beams of kW/mm2 power densities without degradation from radiation damage. Our interests are therefore the development of diamond optics and beam monitoring devices based on electronic readout techniques. The limitations are the availability of large area, single crystal diamonds that are of high quality --both in terms of atomic purity and lattice structure defects-- and the technical difficulty of processing thin diamond plates to obtain damage-free surfaces. Requirements on bulk crystal perfection for X-ray diffractive optics can be extremely demanding, and at the ESRF techniques are available for measuring crystal strain at the few x 10-8 level. X-ray diffractive techniques can be tailored to be surface sensitive, and thus provide a means of measuring lattice disruption close to the diamond surface crystal structure that has resulted from abrasive polishing. We will show results from our measurements and describe some of the ongoing projects both for X-ray optics, beam position monitoring detectors, and the characterization of substrates for power electronics planned for the new 'Green Diamond' Horizon 2020 project.
9:00 AM - DD5.30
Direct Functionalization of BDD Electrodes by Organic Molecules for Electrochemical Detection of Huge Biomolecules
Pawel Niedzialkowski 1 Katarzyna Siuzdak 3 Dawid Nidzworski 4 Michal Sobaszek 2 Robert Bogdanowicz 2 Tadeusz Ossowski 1
1University of Gdansk Gdansk Poland2Gdansk University of Technology Gdansk Poland3The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences Gdansk Poland4Gdansk University of Technology and Medical University of Gdansk Gdansk Poland
Show AbstractBoron-doped diamond (BDD) electrodes within the last decades have been discovered and developed as new electrode material used as working electrode for the detection and analysis a wide variety of analytes. BDD electrodes have been also used as a good material for building of new biosensors which can find many applications: in monitoring and control of organic and inorganic species in environment, food and drug analysis, detection of many biological metabolites and recognition of biomolecules such as: nucleic bases, DNA, genetic diseases, viruses, etc.
The BDD electrodes possess many outstanding properties for over conventional types of electrodes such as: wide working potential window in aqueous electrolyte solutions, low and stable capacitive background current, high response reproducibility and long-term response stability and morphological and microstructural stability at extreme anodic and cathodic potentials.
The electrochemical detection on many organic molecules can be performed only on electrodes by other organic molecules. The detection of these molecules is usually based on the molecular recognition on the modified BDD electrodes by immobilizing of organic molecules deposited directly on the electrode surface.
The use of organic linkers gives the possibility to obtain new electrode material, which can be used for anchoring on the surface important biomolecules (antibodies, peptides, DNA), which can recognize other organic molecules such as: peptides, protein, complementary DNA or viruses. This modification gives the possibility to obtain the electrochemical signals on a decent level of detection.
This work describes different methods of modification of BDD surface by chemical linkers, which in next step are connected to other molecules of recognition properties. Obtained in this way new monolayer were used to create sensor for voltamperometric detection and determination of selected nucleobases (thymine or guanine) and peptides.
Different methods such as cyclic voltammetry (CV), pulse voltammetry (NPV, DPV) and electrochemical impedance spectroscopy (EIS) were used to characterize the obtained monolayer formed on the BDD electrode surface. Above methods were also used in for determination of limit of detection and limit of quantification. The modification of BDD electrode surface has been also investigated and characterized by advanced surface analytical techniques (FT-IR, XPS, SEM).
DD1: Electronic Devices I
Session Chairs
Monday AM, November 30, 2015
Hynes, Level 1, Room 109
9:45 AM - *DD1.01
Diamond - Thermal Properties and Challenges for Integration with GaN Electronics
Martin Kuball 1 Julian Anaya 1 Huarui Sun 1 James W. Pomeroy 1
1Univ of Bristol Bristol United Kingdom
Show AbstractDiamond with its high thermal conductivity has the outstanding potential, when integrated with advanced electronics such as GaN high electron mobility transistors, to enable very efficient heat extraction from advanced electronic components. This will enable transformative new RF and microwave technology with very high electrical power densities to be developed and deployed. As fundamental bases it is essential to understand the thermal properties of the diamond near its growth nucleation site, which has not been studied extensively, as well as its thermal interfaces to material such as GaN. In this presentation the latest results in this field will be reported.
10:15 AM - DD1.02
Smart Nano-Scale Interlayer Formation for SBD Electrical Properties Stable above 600K
Alexandre Fiori 1 2 Tokuyuki Teraji 2 Jose Carlos Pinero 3 Daniel Araujo 3 Yasuo Koide 2
1International Center for Young Scientist, NIMS Tsukuba Japan2National Institute for Materials Science Tsukuba Japan3Facultad de Ciencias, Universidad de Cadiz Puerto Real Spain
Show AbstractHigh temperature is beneficial for diamond-based devices, because it improves electrical conductivity. In addition, diamond-based devices able to operate near 600 K would have a great advantage compared to other devices based on common semiconductors. A wide range temperature stability is required to avoid device failures, and it becomes especially critical with miniaturized and integrated power devices. For these reasons, the development of a diamond Schottky barrier diode (SBD) able to sustain high temperatures has been carried out. However, these studies aroused problems of reproducibility, and degeneracy of the Schottky barrier height. Recently, Zr-based diamond SBDs showed rectification abilities above 600K [1]. The presence of oxide at the Zr/diamond interface has been highlighted by transmission electron microscopy (TEM), and it seemed to be the key of the current rectification at elevated temperature.
In our group, tungsten carbide (WC)/diamond SBDs have revealed a stabilization mechanism when annealed at 600K. Reverse and forward operations have been demonstrated with such stabilized SBDs up to 600K, with ideality factors close to unity [2]. Different cross sections of WC/diamond SBDs have been examined by TEM. The atomic composition of the carbide/diamond interface appears to be modified with the temperature. Precisely, a sharper concentration of oxygen atoms arranged close to the diamond interface has been demonstrated after annealing at 600K. The formation of a nanometer-thin transition phase containing oxygen has been suggested to explain the thermal stability and the tiny diode ideality factor.
References:
[1] A. Traore, P. Muret, A. Fiori, D. Eon, E. Gheeraert, J. Pernot: Applied Physics Letters 104 (2014), 052105.
[2] A. Fiori, T. Teraji, Y. Koide: Applied Physics Letters 105 (2014), 133515.
10:30 AM - DD1.03
Demonstration of a Diamond-Based Nuclear Microbattery Prototype
Vitaly Bormashov 1 Sergey Troschiev 1 Alexander Volkov 1 Sergey Tarelkin 1 2 Dmitry Teteruk 1 Eugeniy Korostylev 3 Nikolay Kornilov 1 Mikhail Kuznetsov 1 Sergey Terentiev 1 Vladimir Blank 1 3
1Technological Institute for Superhard and Novel Carbon Materials Troitsk Russian Federation2National University of Science and Technology MISiS Moscow Russian Federation3Moscow Institute of Physics and Technology Dolgoprudny Russian Federation
Show AbstractDue to the higher energy densities and longer lifetimes of radioisotopes compared with chemical fuels, the radioactive power sources should be considered as an alternative to the next generation battery technologies, particularly for the long-term applications. In addition to its direct use, such power cells can be coupled to a conventional chemical redox battery to provide a slow trickle charge. This combination can potentially enable the chemical battery to run for several months rather than days between recharges, or prevent simultaneous recharging of the battery elements, thus significantly extending the lifetime of the chemical battery.
To convert the radioactive decay energy into electrical power one promising technique is the direct energy-conversion method, which employs a semiconductor diode and a radioisotope source. The principle of operation for such device is similar to the way a solar cell converts energy of light. In this work we developed for the first time a prototype of nuclear micropower battery with overall active area of about 15 cm2 consisted in 130 single cells of Schottky barrier diamond diodes from the initial stages of the design, fabricated single cell, a full battery assembly and characterized its performance.
Diodes proper selection for the battery assembly was performed on the basis of I-V curves measuring at electron beam irradiation in electron microscope varying electrons flux and energy in a wide range. A typical energy conversion efficiency of each cell was about 4-5 %. To characterize the working performance of a battery prototype we carried out the photovoltaic measurements using different radioisotopes. Under the irradiation of planar solid low-enriched 63Ni source with an activity of 5 mCi/cm2 the output power density of 3 nW/cm2 was obtained. Due to a large energy loss of the emitted beta particles in nickel source itself a total battery efficiency of the radiation energy utilization was only 0.6%. However, with the long lived 63Ni isotope, this already gives the battery specific energy of about 120 W#8729;hr/kg, comparable with the commercial chemical cells. The experiments with a high activity (1 Ci/cm2) 90Sr-90Y source resulted in an overall efficiency of only 0.004% but no degradation was observed during 1400 hours of the radiation exposure. Using 60 mm diameter hermetic sealed 238Pu alpha radiation source with an internal activity of 120 mCi we achieved the maximum output power density of 2.4 mu;W/cm2. For such a source the open-circuit voltage of the fabricated battery prototype of 1.85 V was obtained, the short-circuit current was measured to be 37 mu;A and the total conversion efficiency reached as high as 3.6%. It is enough for power supply of red light emitting diode in a permanent mode.
The results display that synthetic diamond is a highly promising material for nuclear battery fabrication. A strategy to further device optimization will be also discussed in the report.
10:45 AM - DD1.04
Electrical Transport Properties in GaN Nanowire/Diamond Heterojunctions
Martin Hetzl 1 Fabian Schuster 1 Saskia Weiszer 1 Hiromitsu Kato 2 3 Christoph Nebel 2 Jose Antonio Garrido 1 Martin Stutzmann 1
1Technische Universitauml;t Muuml;nchen Garching b. Muuml;nchen Germany2Fraunhofer-Institut fuuml;r Angewandte Festkouml;rperphysik IAF Freiburg Germany3Energy Technology Research Institute AIST Tsukuba Japan
Show AbstractAlxGa1-xN/diamond heterojunctions are promising candidates for optoelectronics in the UV and for quantum information processing since material-specific drawbacks, e.g., n-type doping of diamond and p-type doping of AlxGa1-xN can be avoided for these structures. Recently, we have developed the growth of doped GaN nanowires (NWs) on diamond with a high crystalline quality at the heterointerface. [1, 2] These GaN NWs could be utilized as nano contacts to manipulate the charge state of point defects, e.g., NV centers in the vicinity of the diamond surface. In addition, the high refractive index of GaN and the waveguide geometry of NWs can serve as a nano antenna for efficient optical read-out of the NV quantum state.
To verify the potential for applications of these heterostructures, the electrical transport mechanisms between GaN nanowires and p-type doped single crystalline diamonds have been investigated in a systematic way. Self-assembled GaN NWs with different doping profiles have been grown on boron-doped diamond (111) substrates by plasma-assisted molecular beam epitaxy. I-V measurements on single standing NWs have been performed by conductive atomic force microscopy and show a clear diode behavior of the heterojunctions. In addition, the electronic band structure alignment of the GaN NW/diamond interface has been investigated by 1D and 2D nextnano simulations. It turned out that the effective interface dipole determined by the GaN crystal orientation plays a key role for the resulting band offsets. As a possible application, a prototype GaN NW/diamond LED device has been fabricated. Under forward bias of the diode electroluminescence in the UV and green spectral range has been observed. Finally, the growth of position-controlled NW arrays on diamond substrates with adjustable NW diameter will be demonstrated which is expected to improve the device quality of LED structures.
[1] F. Schuster et al., Nano Lett. 12, 2199 (2012)
[2] F. Schuster et al., J. Appl. Phys. 117, 044307 (2015)
DD2: Electron Emission
Session Chairs
Monday AM, November 30, 2015
Hynes, Level 1, Room 109
11:30 AM - *DD2.01
Thermionic Energy Conversion Based on Nitrogen and Phosphorus Doped Single Crystal Diamond
Franz A. Koeck 1 Robert J. Nemanich 1
1Arizona State University Tempe United States
Show AbstractThermionic energy conversion is of ongoing interest as it allows efficient transformation of thermal into electrical energy. Due to the ability of diamond surfaces to attain negative electron affinity (NEA) characteristics and for its lattice to accept donors a band structure can be engineered to effectuate a low work function material suitable for electrode application in a thermionic energy converter. As the power output of the device is related to the difference in emitter and collector work function a lower work function collector electrode is preferred. We will present results from a thermionic energy converter that utilizes a single crystal nitrogen doped diamond emitter and a low work function single crystal phosphorus doped diamond collector. We have shown that homoepitaxial phosphorus doped diamond films grown on (100) HPHT type Ib substrates can be prepared with an ultra-low work function of about 0.6eV ideally suited for collector applications. By utilizing plasma assisted chemical vapor deposition a wet-chemical cleaned HPHT type Ib diamond (100) substrate was used for depositing a thin phosphorus doped homoepitaxial layer in a gas mixture of 200ppm trimethylphosphine/hydrogen (10sccm) under the addition of methane (2sccm) and hydrogen (388sccm). The microwave power was adjusted to 2500W at a chamber pressure of 85Torr resulting in a temperature of about 900°C. A thermionic energy converter was tested with the P-doped collector and a single crystal emitter (hydrogen terminated HPHT type Ib diamond (100)). A vacuum gap established a thermal barrier between the electrodes. The thermionic electron emission was fit to the Richardson-Dushman relation and an emitter work function of ~2.2eV at ~ 700°C was obtained indicating moderate upward band bending. With the converter device operating at 750°C a current barrier of about 1.6V was observed and attributed to Schottky effects at the collector. With an increase in operating temperature to 800°C and 850°C this barrier was reduced to 0.9V and 0.2V, respectively, and the collector temperature exceeded 500°C. A small power output was then observed and with the application of a small bias to mitigate space charge effects the power output increased several orders of magnitude. We will discuss device operation with respect to band bending and Schottky effects at the electrodes and elaborate on the possible efficiency of single crystal diamond thermionic energy conversion devices.
This research is supported by the Office of Naval Research through grant # N00014-10-1-0540.
12:00 PM - DD2.02
Using a Nitrogen Doping Layer to Enhance the Yield of a Diamond Amplifier
Kevin L. Jensen 1 Jonathan L Shaw 1 Joan E Yater 1 Bradford B Pate 1
1Naval Research Laboratory Washington United States
Show AbstractHigh performance electron sources, particularly for particle accelerators and Free Electron Lasers, are primarily concerned with four properties: charge per bunch, beam quality (emittance and brightness), lifetime, and response time. For standard electron sources (e.g., photocathodes and field emitters, improvements in one metric can be at the expense of another. The diamond current amplifier concept, in which a primary beam is amplified by the high secondary yield of a diamond thin film, was proposed as a means of overcoming constraints, and it provides additional advantages such as reduced energy spread of the emitted electrons.
A method for increasing the yield of the diamond thin film is described and assessed through simulation that includes models of secondary electron generation as a function of primary beam energy, transport under field, and energy loss / thermalization mechanisms due to scattering. The method entails utilizing a thin nitrogen-doped layer at the back contact (primary beam injection side). A Monte Carlo simulation methodology to be described is applied to three specific configurations: an idealized configuration of no nitrogen or boron doping; a configuration with residual boron doping and no nitrogen layer; and a configuration with residual boron doping and a thin highly doped nitrogen layer. By comparing secondary generation and transport in each of these configurations, it is shown that the nitrogen layer substantially improves the yield of a thin diamond film under bias**. The secondary electron generation and subsequent thermalization of the secondaries, their transport under internal field in the diamond thin film, and their energy distribution can be monitored and related to nitrogen doping levels, film thickness, residual boron doping levels, and fields across the thin film. The model quantifies the degree to which the nitrogen layer reduces losses caused by electron absorption by the back metallized contact for representative conditions. The substantial reduction of losses to the back contact should reduce the demands on the diamond amplifier itself. This is particularly important for thicker diamond films because unintended residual boron doping can result in a reduced internal field at the back contact and aggravate losses because electrons are not pulled away sufficiently rapidly.
** This study compliments ``Secondary Electron Transmission Studies of the Electron Diffusion and Thermalization Processes in Thin CVD Diamond Films'' (J. Yater, et al.) on polycrystalline B-doped CVD diamond film without bias (this conference).
12:15 PM - DD2.03
Direct Observation of Electron Emission from Grain Boundaries in CVD Diamond by PeakForce-Controlled Tunnelling Atomic Force Microscopy
Rob L Harniman 2 Paul William May 2 Oliver J.L. Fox 2 Wiebke Janssen 1 Sien Drijkoningen 1 Ken Haenen 1
1University of Hasselt Hasselt Belgium2University of Bristol Bristol United Kingdom
Show AbstractElectron emission from a range of CVD diamond films has been studied using high-resolution PeakForce-controlled tunnelling atomic force microscopy (PF-TUNA). In low-conductivity polycrystalline diamond samples we find that electron field emission originates preferentially from the grain boundaries and not from the top of features or sharp edges. Nanocrystalline diamond films with smaller grains and more grain boundaries produce a higher emission current over a more uniform area than larger-grained diamond samples. Light doping with N, B or P increases the grain conductivity resulting in the emitting grain-boundary sites become broader. For heavy B doping emission comes from both the grain boundaries and the grains almost equally. Lightly P-doped diamond samples show emission from micro-step-edges on the (111) surfaces. We also find that the measured emission current drops to ~10% of its initial value ~30 h after removal from the CVD chamber. This decrease is probably due to the build-up of adsorbates on the surface along with an increase in the surface conductivity due to surface transfer doping.
12:30 PM - DD2.04
Secondary Electron Transmission Studies of the Electron Diffusion and Thermalization Processes in Thin CVD Diamond Films
Joan Yater 1 Kevin L. Jensen 1 Tatyana Feygelson 1 Bradford B Pate 1
1Naval Research Laboratory Washington United States
Show AbstractDiamond has a variety of electronic properties that make it an appealing material for advanced solid-state electronic devices and for integration with vacuum electronics. In particular, the negative electron affinity (NEA) at hydrogenated surfaces makes diamond a uniquely promising electron emitter material. While efforts have been underway to exploit this property to achieve high emission current, there are applications for which narrow energy spread is also critical to device performance. As such, the electron transport processes that influence the emitted energy distribution must be better understood. In this study, we use secondary electron transmission measurements to evaluate the electron transport process in thin CVD diamond films. In such measurements, an energetic electron beam impacts the diamond and penetrates a finite distance into the film, with numerous secondary electrons being generated near the stopping point. The secondary electrons then diffuse through the film and lose energy via phonon scattering on their way to the NEA surface, where they are emitted into vacuum. For sufficiently long transport distances, this distribution is fully thermalized, and hence the measured energy distribution curve (EDC) contains a narrow low-energy peak with no high-energy shoulder or tail. We observed such emission distributions in transmission measurements taken from an 8.3-micron-thick single-crystal diamond flake, where secondary electrons were found to have traveled up to 8 microns before being emitted.
While these measurements allow us to determine the electron diffusion distance in the material, they do not provide any information about the thermalization process during transport. In order to explore the thermalization process directly, transmission measurements are taken from two B-doped polycrystalline diamond membranes of thickness 650 nm and 2.3 microns using a 0-20 keV electron gun that allows for beam penetration depths of more than 2.3 microns (where theoretical models are used to determine the electron range as a function of beam energy). As such, these smaller film thicknesses allow us to determine the mininum transport distance required for complete thermalization. For the 650-nm-thick and 2.3-micron-thick films, a fully thermalized emission peak is observed for beam energies le; 7 keV and le; 16 keV, respectively, with a small high-energy shoulder or tail beginning to emerge at higher beam energies. These measurements are analyzed using Monte Carlo simulations that generate constant-energy contour lines (down to 0.05 E0) as a function of beam depth into the film. From these simulations, we detemine that 350 eV and 800 eV electrons have thermalization lengths of ~275 nm and ~600 nm in the 650-nm-thick film and 2.3-micron-thick film, respectively. Additional analysis of the energy-dependent EDCs will be presented that provide more detailed information about the secondary electron transport process in the diamond films.
Symposium Organizers
Philippe Bergonzo, The French Atomic Energy Commission (CEA), LIST Institute
Paul W May, University of Bristol
David A. J. Moran, The University of Glasgow
Robert J Nemanich, Arizona State University
Symposium Support
Applied Diamond, Inc.
Cividec Instrumentation GmbH
Cline Innovations
Fine Abrasives Taiwan Co., LTD
Fraunhofer USA Inc., Center for Coatings and Diamond Technologies
Microwave Enterprises LTD.
Plassys - Bestek
SEKI Diamond
DD8/RR4: Joint Session: Diamond and GaN High Power Devices
Session Chairs
Tuesday PM, December 01, 2015
Hynes, Level 3, Room 306
2:30 AM - *DD8.01/RR4.01
GaN Cooling by Microwave Plasma Chemical Vapor Deposition Diamond
Daniel Francis 1 Daniel Twitchen 1 Firooz Faili 1
1Element Six Technologies Santa Clara United States
Show AbstractCommercial GaN-based RF power amplifiers already offer greatly increased output power densities with respect to GaAs technology, reducing die size and circuit complexity. Further increasing the power density of GaN transistors will ultimately require new thermal management approaches in order to efficiently spread 10&’s of Watts of waste heat and ensure that transistor channel temperatures are kept within safe operating limits.
Since GaN devices are fabricated on foreign substrates, the close proximity of the substrate to the transistor channel (< 1 µm) offers the potential for a high thermal conductivity substrate to efficiently cool the channel. Given the importance of cooling through the substrate, SiC is currently the most commonly used substrate material for high power GaN devices, because SiC has a relatively high thermal conductivity (κSiC) of ~420 W/mK. SiC compares favorably with alternative substrate materials used in low cost applications, e.g. silicon which has a thermal conductivity (κSi) of 130 W/mK. However, at higher power dissipations (Pdiss) the SiC substrate becomes a thermal bottleneck. Replacing the foreign substrate with diamond, the highest thermal conductivity material available (bulk CVD diamond has thermal conductivity (κdia) up to 2000 W/mK), is a highly attractive option for reducing the thermal resistance of GaN transistors - potentially increasing their power handling capability manifold.
This paper will review and summarize recent approaches to enhanced cooling solutions of GaN devices using diamond grown by microwave plasma enhanced CVD processes. The GaN to diamond integration methods discussed range from heat spreaders with a novel metal scheme that reduces semiconductor to diamond thermal resistance to our integrated GaN-on-Diamond platform. In all cases emphasis will be placed on measuring the thermal resistance and finding ways to minimize it. The paper will also discuss recent progress in the use of micro-channels to dissipate 10 kw/cm^2 heat flux.
3:00 AM - DD8.02/RR4.02
Seeking of the Best Diamond Schottky Diode Performance
David Eon 1 Aboulaye Traore 2 Etienne Gheeraert 1 Julien Pernot 1
1Univ. Grenoble Alpes/CNRS Grenoble France2AIST Tsukuba Japan
Show AbstractDiamond has a relatively wide bandgap but it can be made into a semiconductor, or even a metal, by doping it with impurity atoms. Semiconducting diamond layers, grown epitaxially on diamond substrates, have outstanding electrical and thermal properties in view of high power applications. Diamond high power devices are now being intensively investigated. In particular, Schottky diodes based on a metal/diamond junction appear very promising.
Zr metal deposited on oxygen terminated p-type boron doped diamond has been demonstrated to be a Schottky contact. This interface allows us to fabricate pseudo-vertical Schottky diode having large current density in forward regime (1000 A/cm2 at 6V) and high breakdown voltage in reverse regime (larger than 1000 V). We have also investigated the reverse current induced by low barrier height and doping level due to thermionic emission (TE) and thermionic-field emission (TFE), taken into account the barrier lowering (BL). These two mechanisms can induce a high leakage current in the reverse regime which are also voltage-dependent. Consequently, for practical application, a fine trade off on the barrier height, doping level, contact size values must be found in order to obtain a low specific resistance in ON state and a low reverse current in OFF state, while keeping high breakdown voltage. Thermal effects are also very important for power devices and joint measurement of temperature,voltage current in order to observe thermal runaway and its effect on devices performance have been also investigated. Based on the analysis of the experimental data measured on annealed Schottky contacts, optimal structure (doping, thickness and diode geometry) will be proposed in order to fabrication high performance Schottky diodes based on Zr/p-doped diamond interface with taking into account thermal limitation.
3:15 AM - DD8.03/RR4.03
High Resolution Temperature Measurement of GaN HEMTs via Thermoreflectance Thermography
Banafsheh Barabadi 1 Kevin Robert Bagnall 1 Yuhao Zang 1 Tomas Palacios 1 Evelyn Wang 1
1Massachusetts Institute of Technology Cambridge United States
Show AbstractGallium nitride (GaN) high electron mobility transistors (HEMTs) have gained significant interest over the last few years for their excellent electrical properties, high efficiencies, and high power densities for both power electronics and radio-frequency applications. However, these high power densities result in high channel temperatures and temperature gradients that induce thermo-elastic stresses and the formation of defect sites and may accelerate many other degradation mechanisms. The highly localized temperature profile in a GaN HEMT peaks over a narrow region (~0.5 to 1 µm) in which the heat flux is very high (~1010 W/m2) near the edge of the gate contact towards the drain. Thus, it is extremely challenging to measure the peak channel temperature in GaN HEMTs due to the small length scales involved and the close proximity of semiconducting layers and metal contacts. Many thermal metrology techniques, such as infrared thermography and even micro-Raman spectroscopy, are limited in their ability to measure the peak channel temperature because of a diffraction-limited lateral spatial resolution or depth-averaging throughout the GaN buffer.
In this study, we have developed a robust technique for measuring the channel temperature of GaN HEMTs with sub-500 nm lateral spatial resolution under various input powers that can significantly affect the performance of GaN-based devices. To obtain a comprehensive understanding of thermal management in GaN devices, we have utilized thermoreflectance thermography, a well-established technique that is fully optical and noncontact and has several advantages over the currently available high resolution techniques: it provides a thermal map of the device, and it also provides surface measurements, which is crucial due to the unique geometries of transistors. We have focused on the temperature measurement at the interface of AlGaN/GaN interface adjacent to the gate where power densities are significantly high. By choosing the appropriate illumination wavelength, we have been able to measure the junction temperature with a spatial resolution of 300 nm for gated and ungated GaN HEMTs on different substrates. These devices were biased at voltages ranging from 0 to 25 V. To validate the temperature measurements obtained from thermoreflectance thermography, we have utilized micro-Raman thermography with a spatial and temperature resolutions of ~3 µm and 5 °C, respectively. A good agreement was achieved between temperature profiles from both techniques on transmission line method (TLM) pads on GaN-on-GaN devices. In addition, the effect of drain and gate voltage on the electroluminescence (EL) intensity in gated GaN HEMTs were investigated. This work helps to better understand the structural and thermal changes in GaN HEMTs, the formation of defect cites, and their relation to temperature through high-resolution thermal imaging.
3:30 AM - DD8.04/RR4.04
Investigation into the Efficiency and Stability of Surface-Transfer Doped Hydrogen-Terminated Diamond Using MoO3
Kevin George Crawford 1 Dongchen Qi 2 Alexandre Tallaire 3 Claudio Verona 4 Ernesto Limiti 4 David A.J. Moran 1
1The University of Glasgow Glasgow United Kingdom2Latrobe University Melbourne Australia3Universiteacute; Paris 13 Paris France4Universitagrave; di Roma Tor Vergata Rome Italy
Show AbstractThe surface transfer doping process allows for diamond to be used as an active semiconductor for the production of diamond based electronic devices and components. The lack of stability of this doping mechanism due to its typical reliance on environmental operating conditions however has limited its practical application in diamond device technology. A particular focus for this technology is the development of high power, high frequency transistors [1] which are required to operate in "hostile" or "extreme" environments. Development of a temperature stable and operating-atmosphere independent doping mechanism for diamond is therefore of significant interest.
Recent work has identified MoO3 as a potential surface acceptor material that when used to encapsulate the hydrogen-terminated diamond surface dramatically improves both the doping efficiency and stability [2]. Optimisation of the processes used to integrate this material into diamond electronics technology however must be developed to maximise potential benefits to performance.
In this work we discuss the latest developments utilising MoO3 for the doping of H-diamond, including time and temperature dependent stability trials and the potential to integrate these new doping processes into diamond electronic devices such as field effect transistors.
[1] Stephen A. O. Russell et al,IEEE Electron Device Letters, Vol. 33, No. 10, October. 2012 p. 1471 - 1473
[2] Stephen A. O. Russell et al, Applied Physics Letters, Volume 103, Issue 22, 202112, November 2013
3:45 AM - DD8.05/RR4.05
Trench-Channel Vertical MOSFET Using C-H Diamond Surface
Toshiki Saito 1 Mikinori Kobayashi 1 Yuya Kitabayashi 1 Daisuke Matsumura 1 Masafumi Inaba 1 Atsushi Hiraiwa 1 2 Hiroshi Kawarada 1 2 3
1Waseda University Tokyo Japan2Institute of Nano-Science and Nano-Engineering, Waseda University Tokyo Japan3Kagami Memorial Laboratory for Material Science and Technology, Waseda University Tokyo Japan
Show AbstractPower devices made of diamond have remarkable potentials based on the highest breakdown field and thermal conductivity. We have reported high-blocking voltage planar diamond MOSFETs [1-3]. The surface of channels in our devices are covered with C-H bonds. A thermally stable Al2O3 passivation film was used as gate insulator inducing the additional conduction layer beneath the diamond surface. The planar FETs have well controlled the source-drain current. To obtain the higher current density, however, it is inevitable to form vertical-shaped devices to avoid large planar drift area. In this study, we fabricated the test structure for the vertical diamond power FET by forming the trenches in the source-drain channels to estimate the conduction of C-H diamond sidewall.
In this study, the MOSFETs were built on C-H diamond surface by using atomic layer deposition (ALD), as a passivation layer and a gate insulator sheet, which produce and control two-dimensional hole gas (2DHG), GaN-HEMT is known as FET applying two-dimensional electron gas (2DEG) on its interface. In case of vertical structure, however, 2DEG is not formed on the sidewall of GaN because the 2DEG appears on a special surface having spontaneous and piezo polarization. In this point, the 2DHG on C-H diamond covered by Al2O3 can be formed on the sidewall easily regardless of crystal orientation. That is why diamond trench-channel vertical MOSFET with 2DHG is superior to AlGaN/GaN devices.
In this paper, we have fabricated a vertical-shaped diamond MOSFET. The process is almost same to that of planer FETs which we have reported. At first, the un-doped layer is grown about 2 um on P+ diamond substrate (single crystalline diamond doped with boron concentration of 1x1019cm-3). This substrate has high p-type conductivity so that the hole current is able to run through the substrate. Second, the homo epitaxial layer was etched to the bottom to form a trench by using inductively coupled plasma ion etching (ICP-RIE), and regrown the un-doped layer by CVD to form 2DHG on the sidewall of trench. The un-doped layer must be re-grown after trench structure is fabricated by plasma etching to get damage free side wall conduction. We covered inside the trench. Ti/Au were put as a source electrode on the surface and as a drain at the bottom, Al as a gate and Al2O3 used ALD as a gate insulator sheet. The hole current run from the source electrode and is controlled by the trench gate on the sidewall and inside the P+ substrate. They suggest that 2DHG at side wall is available as a FET channel with trench gate. We have confirmed that side wall FET can modulated hole current as well as planar FET.
This study was supported by Grant in Aid for Fundamental Research S of JSPS.
References
[1] A. Daicho, H. Kawarada, et al., J. Appl. Phys. 115, 223711 (2014)
[2] H. Kawarada, et al., Appl. Phys. Lett. 105, 013510 (2014)
[3] H. Kawarada et al. IEDM 2014 11.02 (2014)
4:30 AM - *DD8.06/RR4.06
Schottky and Merged Schottky/PN-Junction Vertical Diamond Diodes for High Voltage and High Current
Timothy A. Grotjohn 1 2 Steven Zajac 1 Nutthamon Suwanmonka 1 Ayan Bhattacharya 1 Jes Asmussen 1 Timothy P. Hogan 1 Robert Rechenberg 2 Aaron Hardy 2 Michael Becker 2 Thomas Schuelke 2
1Michigan State Univ East Lansing United States2Fraunhofer Center for Coatings and Diamond Technologies East Lansing United States
Show AbstractDiamond has strong potential as a semiconductor material for high power electronics due to its material properties including high thermal conductivity, high electric field breakdown strength, and high carrier mobilities. In this paper we will report on our work to produce vertical diamond diodes with characteristics that include a reverse bias breakdown voltage exceeding 1000 V and a forward current exceeding 10 A. Two diode architectures are being studied including a Schottky vertical diode and a merged Schottky/pn-junction vertical diode. The Schottky diode consists of an ohmic contact, thick heavily-doped p-type layer, lightly-doped drift p-type layer and a metal Schottky contact. The merged Schottky/pn-junction diode has localized heavily-doped n-type regions in the drift layer in contact with the Schottky metal contact. The lightly-doped p-type layer and heavily-doped p-type layer are deposited in microwave plasma-assisted CVD reactors using boron as the dopant. The n-type diamond is deposited using phosphorus as the dopant.
Diodes have been fabricated with both small Schottky contact areas of 150 micrometer diameter and larger Schottky contact areas of 1 mm X 2 mm. Diodes with the smaller contacts have been fabricated with breakdown voltages of 1000V and forward current flow densities of 500 A/cm2. Diodes with the larger contacts have been fabricated with current flow up to 18 A and current density of 900 A/cm2. Arrays of the smaller contact area diodes have been fabricated across single crystal diamond substrates to study the spatial variation in the diode characteristics and correlate these variations to defects in the diamond. Diode characteristics are measured from 300-600 K and comparisons are made to device simulations using the MEDICI semiconductor device simulator. This paper will also discuss diamond power electronics in comparison to other wide bandgap semiconductor materials.
This work is supported by US Department of Energy: ARPA-E SWITCHES program.
5:00 AM - DD8.07/RR4.07
High Current Density p-i-n Diode Enabled by Homoepitaxial Phosphorus Doped Diamond
Franz A. Koeck 1 Maitreya Dutta 2 Srabanti Chowdhury 2 Robert J. Nemanich 1
1Arizona State University Tempe United States2Arizona State University Tempe United States
Show AbstractWith its wide bandgap (5.45eV) and high breakdown field (5.6MV/cm) diamond presents itself as an ideal candidate for power electronics. As p-type material is readily achieved through boron doping recent advances in phosphorus doping established a suitable process for the preparation of n-type diamond. Furthermore, economical availability of single crystal (100) diamond substrates provides a cornerstone for diamond power devices. We report on the preparation and characterization of a high current density p-i-n diode synthesized by plasma enhanced chemical vapor deposition on a (100) type IIa CVD diamond substrate. A wet-chemical cleaning process was utilized to prepare the p-type boron doped diamond layer for successive intrinsic diamond deposition where a pure hydrogen plasma exposure initiated the intrinsic diamond deposition. Phosphorus doped diamond was deposited on the i-layer using a 200ppm trimethylphosphine/hydrogen gas mixture (10sccm) under the addition of methane (2sccm) and hydrogen (388sccm). With a microwave power of 2500W and a chamber pressure of 80Torr a pyrometer recorded a temperature of about 900°C. In a final deposition step growth parameter adjustments established a temperature >1000°C to realize a highly doped contact layer. The experimental growth configuration exploited plasma focusing effects to enhance dopant incorporation. Employing a SiO2 hardmask reactive ion etching was used to expose the p-layer. Electrical contacts comprised of Ti/Pt/Au/Ni and Ti/Pt/Au to the n and p-layer, respectively, were patterned using standard bi-layer photolithography. Electrical characterization at room temperature demonstrated a repeatable forward current density >500A/cm2. This was attributed to the low contact resistance of 1.6 Omega;.mm at the n-type diamond layer. Due to a reduced i-layer thickness and moderate impurity incorporation a breakdown field of 1.25MV/cm was observed. Device performance will be discussed in terms of material preparation and corresponding material properties.
This research was funded by the Advanced Research Projects Agency - Energy (arpa-e).
5:15 AM - *DD8.08/RR4.08
GaN-on-Diamond HEMTs with 11W/mm Output Power at 10GHz
Pane C Chao 1
1BAE Systems Nashua United States
Show AbstractDue to the exceptional thermal conductivity of diamond, GaN devices fabricated on diamond substrates are gaining more interest due to diamond&’s ability to extract heat very efficiently, compared to those fabricated on Si, sapphire or SiC substrates. Great progress has been made on the development of the conventional GaN-on-Diamond HEMT since the first demonstration in 2005 [1]. In this technology, GaN-on-Diamond wafers are prepared from epitaxial GaN-on-Si wafers. During the preparation, the host Si substrate and the growth-defect-containing transition layers are removed and a polycrystalline diamond layer is grown at high temperature on the GaN layer [2]. With this diamond growth approach, Tyhach, et al. reported an RF output power density of 5.9W/mm with 50% power added efficiency (PAE) at 10GHz operating CW at a VDS of 28V [3]. Dumka, et al. also demonstrated a GaN-on-Diamond HEMT with an output power density of 7.9W/mm and PAE of 46% when operated at 10GHz, 40V VDS [4].
In this paper, we describe a new GaN-on-Diamond device approach involving the lifting off an industry-standard GaN-on-SiC HEMT from the host SiC substrate and transfer onto a polycrystalline CVD diamond substrate through a low-temperature bonding technology. This innovative approach allows the placement of very high thermal conductivity diamond within 1µm of the hot spot in a high-performance high-power GaN HEMT. The thermal resistance of the GaN-on-Diamond device is significantly reduced when compared to that of a GaN-on-SiC HEMT, allowing a smaller gate pitch in the device channel to provide higher power capability (i.e., RF output power per active area of the device) without impacting device junction temperature and reliability. Device drain current reached a record 1.2A/mm with transconductance of 390mS/mm. Measured maximum microwave power density was 11.0W/mm CW at 10GHz with a PAE of 51%, representing the highest microwave power density from a GaN-on-diamond transistor reported to date. Thermal measurements and analysis were also performed on the GaN-on-diamond HEMTs. Based on IR imaging and drain current transient results, the GaN-on-diamond HEMT is demonstrated to possess 3.6 times higher power capability than the industry-standard GaN-on-SiC device. The measured results - consistent with the device electrical and thermal analysis - clearly demonstrate GaN-on-diamond HEMTs fabricated by low-temperature device-transfer technology exhibit superior electrical and thermal performance than the standard GaN-on-SiC HEMTs.
This work was supported by DARPA NJTT program under the guidance of Drs. A. Bar-Cohen, J. Maurer, A. Kane and J. Felbinger.
1. G. Jessen, IEEE Compound Semicond. Integr. Circuit Symp., San Antonio, TX, 2006, p. 271.
2. F. Ejeckam, Lester Eastman Conf., Cornell University, Ithaca, NY, 2014, p. 1.
3. M. Tyhach, Lester Eastman Conf., Cornell University, Ithaca, NY, 2014, p. 6.
4. D. Dumka, Lester Eastman Conf., Cornell University, Ithaca, NY, 2014.
5:45 AM - DD8.09/RR4.09
C-H Diamond MOSFETs with 1.7 kV Breakdown Voltage and >190mA/mm Current Density
Yuya Kitabayashi 1 Tetsuya Yamada 1 Dechen Xu 1 Toshiki Saito 1 Daisuke Matsumura 1 Atsushi Hiraiwa 2 Hiroshi Kawarada 1 2 3
1Faculty of Science and Engineering, Waseda University Tokyo Japan2Institute of Nano-Science and Nano-Engineering, Waseda University Tokyo Japan3Kagami Memorial Laboratory for Material Science and Technology, Waseda University Tokyo Japan
Show AbstractWide band gap semiconductor, SiC, GaN and Diamond, are expected to next generation power device applications. Especially, diamond has wide band gap energy (5.5 eV), high thermal conductivity (20 W/cm#12539;K) and high breakdown field (10 MV/cm). It&’s important for power MOSFETs to rise breakdown voltage. We fabricated MOSFETs using the hole accumulation layer (2DHG) induced by coating the hydrogen-terminated (C-H) diamond surface with Al2O3 insulator by high temperature ALD method. We have reported 1 kV breakdown voltage characteristics [1] and 10 K~673 K operations [2].
In this paper, we fabricated hydrogen-terminated (C-H) diamond MOSFETs using Al2O3 insulator by high temperature ALD method. C-H MOSFETs showed over breakdown voltage of 1.6 kV at room temperature and 1.5 kV at 200#8451;. In addition, the highest breakdown voltage of 1.7 kV and high current density (over 190 mA/mm) has been obtained with thicker Al2O3 (400nm) on channel and drift region.
C-H diamond MOSFETs was prepared in the following method. First, undoped layer was deposited on 1b (001) diamond substrate by chemical vapor deposition (CVD) and Ti/Au (30 nm/100 nm) were deposited as source and drain electrode. Second, the diamond surface was hydrogen-terminated by remote plasma and isolation by O-terminated. Third, Al2O3 film as insulator and passivation were deposited by high-temperature ALD method (Oxidation; H2O, Temperature; 450#8451;). Fourth, Al2O3 on the channel region etched by NMD-3 to make thicker oxide structure and the second Al2O3 film was deposited. Finally, Al was deposited as gate electrode.
Nearly 1 kV breakdown voltage VB was achieved at LGD of 9 µm and the average electric field strength (VB/ LGD) were 1 MV/cm. The highest breakdown voltage of 1646 V was obtained at LGD of 22 µm. Moreover the average electric field strength reached 3.7 MV/cm at LGD of 1 µm. It&’s over SiC and GaN properties limit.
Higher temperature (@200#8451;) off stage showed similar high breakdown voltage as that of room temperature. It exceeded the maximum breakdown voltage of diamond FETs at high temperature. The maximum current drain density was 82.0 mA/mm is also as high. Low off current (10-11 ~ 10-6 A) was maintained even at 200#8451; until breakdown.
Thicker oxide structure with 400nm Al2O3 layer has been introduced in the present C-H diamond MOSFET. This device showed the highest breakdown voltage 1708 V. The length of device were LGD = 16 µm. 1708 V was the highest breakdown voltage of diamond FETs ever reported. The VB/ LGD tendency could keep 1 MV/cm up to 1700V. The maximum current drain density was 97.2 mA/mm comparable to lateral SiC or AlGaN/GaN device.
[1] H. Kawarada et al. IEEE IEDM 2014 11.02 (San Francisco, 2014).
[2] H. Kawarada, et al., Appl. Phys. Lett. 105 (2014) 013510.
DD6: Defects and Color Centers
Session Chairs
Tuesday AM, December 01, 2015
Hynes, Level 1, Room 109
9:15 AM - *DD6.01
Spectroscopy and Optical Interfacing of Single Color Centers in Bulk- and Nano-Diamond
Jonas N Becker 1 Carsten Arend 1 Sarah Lindner 1 Alexander Bommer 1 Thomas Jung 1 Christoph Becher 1
1Saarland University Saarbruecken Germany
Show AbstractSingle defect centers in diamond are versatile tools for solid-state-based quantum technologies ranging from quantum information processing to quantum-enhanced sensing and metrology. The most prominent example is the nitrogen vacancy (NV) center providing very long spin coherence times. On the other hand, its optical properties are limited by a dominant emission into a very broad phonon sideband hindering efficient optical spin access. In general, identifying a spin impurity which offers sufficient quality in both photonic and spin properties remains a challenge. Silicon vacancy (SiV) centers have attracted large interest due to their spin-accessible optical transitions [1,2] and the quality of their optical spectrum, i.e. narrow zero phonon lines and weak phonon sidebands. We report on the nature of the SiV electronic structure and selection rules giving rise to spin-selective fluorescence, and all-optical access to spin coherence in the ground state using coherent population trapping [3]. We investigate the role of phonon-assisted coupling between orbital states as a source of irreversible spin decoherence. One option to suppress phonon-assisted processes is the use of nanodiamonds sufficiently small to suppress the phonon density of states. We report on fabrication of nanodiamonds using a wet-milling process of polycrystalline CVD material yielding single SiV centers with narrow emission lines.
Efficient spin-readout of color centers can be further promoted by coupling their optical transitions to micro-cavities. Our research follows two routes: In a first approach we demonstrate coupling of a single NV center in a nanodiamond to a fiber-based, tunable, Fabry-Perot-type microcavity [4]. Making use of the NV center&’s strongly broadened emission we realize a widely tunable, narrow-band single photon source. Further reducing scattering losses from the nanodiamonds could enable schemes for cavity-enhanced spin measurements, creation of entangled states or high repetition rate single photon sources.
As second approach we use photonic crystal nano-cavities directly fabricated at the predetermined position of single SiV centers in a single crystal diamond membrane [5]. The cavities can be aligned both to the emitter&’s position and dipole orientation. We observe a large Purcell enhancement of the spontaneous emission and a reduction of the SiV center spontaneous emission lifetime. Furthermore, we investigate the deterministic implantation of NV centers into photonic crystal cavities with high spatial precision using a nano-implantation technique based on a pierced AFM tip [6].
[1] C. Hepp et al., Phys. Rev. Lett. 112, 036405 (2014).
[2] T. Müller et al., Nature Commun. 5, 3328 (2014).
[3] B. Pingault et al., Phys. Rev. Lett. 113, 263601 (2014).
[4] R. Albrecht et al., Phys. Rev. Lett. 110, 243602 (2013).
[5] J. Riedrich-Möller et al., Nano Lett. 14, 5281 (2014).
[6] J. Riedrich-Möller et al., Appl. Phys. Lett. 106, 221103 (2015).
9:45 AM - DD6.02
Electrostatic Layering of Polyelectrolytes on Diamond Surfaces to Stabilize Near-Surface Nitrogen Vacancy Centers
Andrew S Greenspon 1 Evelyn Hu 1
1Harvard University Cambridge United States
Show AbstractThe negatively charged nitrogen vacancy (NV-) center in diamond has shown great promise for high-sensitivity magnetic sensing with exceptional spatial resolution, even in biological/cellular environments. Because the magnetic interaction between adjacent spins scales as 1/r3, the highest sensitivity sensing applications require positioning of NVs near the diamond surface. However, proximity to the surface poses a number of challenges for near-surface NVs, including a reduction of spin coherence (T2) times, and ionization to the neutral NV0 state that is not sensitive to magnetic fields. Chemical ‘functionalization&’ and control of the diamond surface is therefore a critical issue for eliciting the best performance from near-surface NVs: chemical treatments may ensure long-term stability of the NV- signature and may also improve spin coherence lifetimes. In developing techniques for functionalization, important metrics include the uniformity of the coating on the diamond surface and the long-term stability of that coating.
The technique we employ is an electrostatic layering process of oppositely charged polyelectrolytes, allowing monolayer-level control of ‘coating&’ thicknesses. The polyelectrolyte stack can in turn serve as a template for the reversible attachment of other luminescent and spin-sensitive molecules in close proximity to the underlying NV-s, thus providing a means of calibrating NV- interactions. This also provides viable pathways to bio-functionalization of NV- sensors. This method allows for a wide diversity of surface chemistry and functionalization to be applied to the diamond surface simply by varying the type of polyelectrolyte used and the pH at which we layer it.
Initial studies have been carried out on quartz and silicon substrates: we have layered different numbers of polyelectrolytes one by one, monitoring the process through XPS and absorption measurements. We have also incorporated a surface layer of a negatively charged organometallic complex, which consists of a trivalent europium (Eu3+) or gadolinium (Gd3+) ion surrounded by three organic ligands. Europium emits characteristic red light when probed with a laser and therefore serves as a useful test molecule to better understand the binding mechanism of the polyelectrolye stack. Gadolinium has a high electron spin, which generates a magnetic field that will affect near-surface NV-s; it therefore can be used to test NV- sensing with this electrostatic layering method. Initial measurements show evidence that these lanthanides are not confined to the surface but are distributed throughout the ‘coating&’ layer.
Such polyelectrolyte coatings will be applied to planar diamond samples with near-surface (~ 10 nm) NV-s formed by shallow implantation. We will correlate surface treatments with luminescence spectra tracking NV- emission intensity, and will also measure spin properties of the coating-clad near-surface NV-s.
10:00 AM - DD6.03
Selective Alignment of Ensemble NV Centers in Microwave Plasma CVD Diamond Grown on (111) Substrates
Hayato Ozawa 1 2 Kosuke Tahara 1 2 Takayuki Iwasaki 1 2 Mutsuko Hatano 1 2
1Tokyo Institute of Technology Meguro Japan2JST-CREST Chiyoda Japan
Show AbstractNitrogen-vacancy (NV) centers in diamond can be utilized for quantum sensing of magnetic field. For the improvement of detection sensitivity, there are two factors, increasing density of NV centers and alignment of the orientation of the NV axes. At low density NV centers (density such that each single NV centers can be identified, le; 1 × 1012 cm-3), recent studies revealed that NV centers were selectively aligned along the [111] direction by microwave plasma chemical vapor deposition (MPCVD) growth on (111) substrates [1 - 3]. However, for quantum sensing of magnetic field requires selectively aligned higher density NV centers. In this study, we synthesized nitrogen-doped diamonds on (111) substrates and obtained highly selective alignment of NV centers with three orders of magnitude higher density than those reported.
Diamond films containing NV centers were grown on Ib diamond (111) substrates by MPCVD using CH4/H2 as source gases. During the growth, NV centers were created in the diamond films by using N2 gas as a nitrogen source. We investigated five samples grown with varied N2 concentrations (0.4 - 400 ppm). Two samples were grown under the highest N2 concentration. Besides, off direction of <-1-12> or <11-2> is another important factor for alignment of NV axes in the single NV centers [2 - 4]. However, the effect of off directions for alignment of highly dense ensemble NV centers has not revealed. Therefore, these samples were also varied off direction. The highest N2 concentration samples had off direction of these, and the other samples had off direction deviated from these direction.
A zero phonon line (ZPL) of negatively charged NV (NV-) centers was observed in all N2 concentration. The density of NV- center increases as increasing the N2 concentration, and was estimated to be 4 × 1015 cm-3 at highest N2 concentrations sample. About alignment of NV centers, at the samples deviated off direction from <-1-12> and <11-2>, the ratio of NV centers aligned along the [111] axis (NV//[111]) was estimated to be 31.6 - 43.4 %. By contrast, NV//[111] of the sample with an off direction of <11-2> was identified 82.7 %. And the sample aligned along an off direction of <-1-12> similarly had the high ratio of NV//[111] of 83.6 %. Therefore, NV axes are aligned along [111] direction by MPCVD growth on the (111) substrate with off direction of <-1-12> or <11-2> even in high density.
[1] J.Michl, et al., Appl. Phys Lett. 104, 102407 (2014).
[2] T.Fukui, et al., APEX 7, 055201 (2014).
[3] M.Lesik, et al., Appl. Phys Lett. 104, 113107 (2014).
[4] T.Miyazaki, et al., Appl. Phys Lett. 105, 261601(2014).
10:15 AM - DD6.04
Spatially Controlled Si-V Defect Nanodiamonds with Nitrogen-Enhanced Photoluminescence for Sensing in Molecularly-Imprinted Polymers
Shane A. Catledge 1 Sonal Singh 2 Reim Almotiri 1 Robert Collins 1
1Univ of Alabama-Birmingham Birmingham United States2Global Foundaries Malta United States
Show AbstractFluorescent nanodiamond offers a promising platform for many applications in imaging, drug delivery, and sensing. This is due, in part, to the potential to incorporate photostable luminescent defect centers into diamond. Nanodiamond arrays were prepared with element height less than 80 nm as printed by Scanning Probe Lithography (SPL). Suitable nanodiamond carrier “inks” for the SPL printing technique, the mechanism of ink transport, and parameters such as humidity and dwell time that affect array element size were explored. Photoluminescence from silicon-vacancy (Si-V) defect centers was imparted to the nanodiamonds on silicon substrates using a microwave plasma-enhanced Chemical Vapor Deposition (CVD) process. Further enhancement of Si-V emission from these nanodiamonds was demonstrated through controlled nitrogen doping during CVD by using varying flow rates of N2 in a H2 + CH4 feedgas mixture. With increasing N2 in the feedgas, the observed luminecence from Si-V centers increased by as much as a factor of five, reaching a maximum at 0.04% N2 (of total flow rate). For this low amount of N2, isolated substitutional nitrogen in {100} growth sectors is believed to act as a donor to increase the population of optically active (Si-V)- at the expense of optically inactive Si-V defects, resulting in increased luminescence from these centers. For higher N2 addition above 0.04%, the Si-V center luminescence is quenched with an observed increased in surface roughness primarily on {111} faces, attributed to deterioration of diamond quality with twinning.
Biomimetic receptors such as molecularly imprinted polymers (MIPs) have a predesigned molecular recognition capability that can be used to build robust chemical sensors. Acrylamide-based MIP gel microarrays were printed with and without fluorescein as a template “test” molecule using the SPL technique. Binding/washing/re-binding experiments demonstrated the successful imprinting of fluorescein compared to the non-imprinted control. Experiments to evaluate the quenching of nanoparticle fluorescence as a result of small molecule trapping in the MIP are underway and will be compared with non-imprinted controls. We aim to demonstrate “label-free” detection in these fluorescent nanoparticle/MIP systems as an alternative to conventional molecular recognition-based (e.g. antibody) assays. The precise control in spatial arrangement of the MIP/fluorescent nanoparticle array paves the way for multiplexed photonic detection via fluorescence quenching or diffraction-based mechanisms.
10:30 AM - DD6.05
Germanium-Vacancy Single Color Centers in Diamond
Takayuki Iwasaki 1 2 Fumitaka Ishibashi 3 Yoshiyuki Miyamoto 2 4 Yuki Doi 3 Satoshi Kobayashi 3 Takehide Miyazaki 2 4 Kosuke Tahara 1 Kay Jahnke 5 Lachlan Rogers 5 Boris Naydenov 5 Fedor Jelezko 5 Satoshi Yamasaki 2 4 Shinji Nagamachi 6 7 Toshiro Inubushi 7 Norikazu Mizuochi 2 3 Mutsuko Hatano 1
1Tokyo Institute of Technology Tokyo Japan2CREST Tokyo Japan3Osaka University Osaka Japan4National Institute of Advanced Industrial Science and Technology Ibaraki Japan5Ulm University Ulm Germany6Nagamachi Science Laboratory Hyogo Japan7Shiga University of Medical Science Shiga Japan
Show AbstractSingle color centers in diamond are promising solid state platform for quantum cryptography and quantum information processing. Although several fluorescent atomic structures with single photon emission capability have been found in diamond, only Nitrogen-vacancy (NV) and Silicon-vacancy (SiV) centers have been reproducibly fabricated [1]. Further exploration of novel color centers which can be reproducibly fabricated and can emit single photons is important to obtain superior optical properties and to more deeply understand the formation mechanism and characteristics of color centers in diamond.
In this study, we demonstrate a novel color center, composed of a Germanium (Ge) and a vacancy, in diamond [2]. The Germanium-vacancy (GeV) centers show a sharp and strong luminescence band with a zero phonon line (ZPL) at around 602 nm, and can emit single photons at room temperature. We confirmed that the GeV centers can be reproducibly fabricated by both ion implantation and microwave plasma chemical vapor deposition (MPCVD). The atomic-crystal structure and energy levels of the GeV color center was calculated from first-principles
Both ensemble and single GeV centers were fabricated by Ge ion implantation into diamond and subsequent high temperature annealing. A low implantation dose resulted in the fabrication of single GeV centers. The second-order autocorrelation function g2(tau;) of GeV centers show a sharp dip below 0.5, which is evidence for single photon emission. From the analysis of the g2(tau;) data, we obtained an estimate of the excited state lifetime of 1.4 - 5.5 ns. This is much shorter than the NV centers and comparable to the SiV centers. The photon count rate is an important figure of merit for single photon sources. A high photon count rate of 170 kcps was obtained for a single GeV center. Because we used a band-pass filter around 600 nm to avoid Raman signals, it is expected that the photon count rate of the GeV center would be increased. The GeV ensemble incorporated by MPCVD possesses a narrower line width and inhomogeneous distribution of the ZPL position than those of the GeV centers prepared by ion implantation. These effects could originate from the lower defect density and strain in the sample prepared by MPCVD. By the first-principles calculations, we found that the Ge atom in diamond takes an interstitial position between the lattice vacancies, which belongs to the symmetry group of D3d. In the energy levels, compared with the SiV center, the eg levels of the GeV center become higher, which should be the origin giving the higher fluorescence energy in the GeV center.
References
[1] Prawer. S. & Aharonovich, I. Quantum Information Processing with Diamond: Principles and Applications. ch. 6 (Woodhead Publishing, 2014).
[2] T. Iwasaki, et al., arXiv:1503.04938.
10:45 AM - DD6.06
Active Nanodiamond Swimmers
Ji Tae Kim 1 Udit Choudhury 1 Hyeon-Ho Jeong 1 Peer Fischer 1 2
1Max Planck Institute for Intelligent Systems Stuttgart Germany2University of Stuttgart Stuttgart Germany
Show AbstractNanodiamonds with nitrogen vacancy (NV) centers are promising candidates for quantum optics and nanoscale metrology - electric, magnetic, and thermal sensing [1-4]. Although the importance of spatial manipulation of NV centers has been emphasized for fundamental studies, current methods such as scanning probe techniques [5], optical tweezers [6], and ABEL trap [7] are still limited to “passive” approaches in liquid environments.
We have developed a new “active” system: self-propelled catalytic nanodiamond-swimmers for spatial manipulation of single spins in fluids. The locomotion of the swimmers is successfully designed - from translational to rotational motion - by controlling geometry-dependent hydrodynamic interactions. A major challenge is the large variability in size and shape of nanodiamond crystals. Nevertheless, we have been successful in developing the wafer scale fabrication of tailored nanodiamonds that are functionalized with a number of active materials. Precise three-dimensional shaping of the swimmers is successfully achieved by our nano-glancing angle deposition (GLAD) method [8]. Our fabrication method offers a number of opportunities in developing hybrid NV-systems. As an example we discuss the self-phoretic motion of nanodiamonds in aqueous solutions.
Here, we present our results and discuss the prospects of our work for potential applications in various vector metrologies in fluids, especially for biological systems.
Email: [email protected]
[1] Togan, E. et al. Nature 466, 730 (2010).
[2] Maze, J. R. et al. Nature 455, 644 (2008).
[3] Dolde, F. et al. Nat. Phys. 7, 459 (2011).
[4] Kucsko, G. et al. Nature 500, 54 (2013).
[5] Degen, C. L. Appl. Phys. Lett. 92, 243111 (2008).
[6] Geiselmann, M. et al. Nat. Nano. 8, 175 (2013).
[7] Kayci, M. et al. Nano Lett. 14, 5335 (2014).
[8] Mark, A. G. et al. Nat. Mater. 12, 802 (2013).
DD7: Quantum Sensing I
Session Chairs
Tuesday AM, December 01, 2015
Hynes, Level 1, Room 109
11:30 AM - *DD7.01
Diamond Semiconductor Devices for Next Generation Power Electronics and Quantum Sensing Applications
Mutsuko Hatano 1 2 Takayuki Iwasaki 1 2 Satoshi Yamasaki 3 2
1Tokyo Institute of Technology Tokyo Japan2CREST,Japan Science and Technology Agency Chiyoda, Tokyo Japan3Advanced Power Electronics Research Center, National Institute of Advanced Industrial Science and Technology Tsukuba Japan
Show AbstractDiamond is an attractive semiconductor material for next-generation power electronics due to its high electric field strength and high thermal conductivity. Moreover, Diamond involving NV (Nitrogen-Vacancy) centers has superior physical properties for high sensitive image sensing applications because spin states in the NV centers can be controlled by ESR and optically initialized and read out at room temperature. In this talk, we would like to introduce power junction field effect transistors (JFETs) and magnetic sensing devices by applying advanced device technologies and the semiconductor band-gap engineering techniques.
We have developed diamond lateral pn junction diodes[1] and JFETs[2,3] by using maskless selective n+-type diamond growth technology [4]. The depletion layer in the p-channel of the JFET is controlled by the n+-type side gates, resulting in the drain current modulation by the gate voltage. Our JFETs show very low leakage currents and a steep sub-threshold slope (SS) up to 723 K, and high breakdown voltages of around 600V (estimated breakdown field of about 6.2 MV/cm, that is higher than the physical limitations of 4H-SiC and GaN devices)[5]. By highly control of the channel impurity concentration and channel width down to 0.3um, normally-off operation was obtained. Moreover, the current enhancement by conductivity modulation in diamond JFETs have also been confirmed.
Aiming to realize diamond&’s quantum sensing potential, we have been developing negatively charged NV (NV-) ensemble centers with longer coherence time. Orientation of these NV centers is highly selective aligned along the [111] axis[6] with high density via nitrogen-doped microwave plasma CVD. In order to control of the charge state of the NV centers, band-gap engineering techniques using pn junctions are applied to the sensing devices. For enhancement of the photon collection efficiency from NV centers, umbrella-shaped diamond microstructures fabricated by bottom-up process with Ti mask are proposed.
[1] Y. Hoshino, M. Hatano, et al., Phys. Status. Solidi A 209, 1761, 2012.
[2] T. Iwasaki, M. Hatano, et al., Appl. Phys. Express 5, 091301, 2012.
[3] T. Iwasaki, M. Hatano, et al., IEEE Electron Device Lett. 34, 1175, 2013.
[4] H. Kato et al., Appl. Phys. Express 2, 055502, 2009.
[5] T. Iwasaki, M. Hatano, et al., IEEE Electron Device Lett. 35, 241, 2014.
[6] T. Fukui, M. Hatano, N. Mizuochi, et al., Appl. Phys. Express 7, 055201,2014.
12:00 PM - DD7.02
Microfluidic Magnetic Field Sensing Based on Nitrogen-Vacancy Centers in Diamond Coupled to Surface Dark Spin Probes
Nikola Sadzak 1 Oliver Benson 1
1Humboldt-Universitauml;t zu Berlin Berlin Germany
Show AbstractThe negatively-charged nitrogen vacancy (NV) center is a point-like defect located in diamond that behaves as a single photon source, having stable luminescence even at room temperature. Moreover, its has an electron spin triplet that can be optically polarized, manipulated with microwaves and readout via fluorescence intensity measurement [1]. The long relaxation times, which are on the order of seconds in bulk diamond, made such solid state system a promising candidate for spin-qubit encoding and magnetic field sensing on the nanoscale [2]. Concerning the latter, different protocols and devices have been implemented, which allow the NV center to be used as a scanning probe magnetometer [3] or surface magnetometer capable of detecting single electron spins outside the diamond itself [4] and even single nuclear spins located within the diamond [5]. Here, we discuss a novel diamond-based microfluidic device: shallow NVs are implanted within 10 nm from the surface of a type IIa diamond. A polymer based structure provides the fluidic channels, while microwaves used to manipulate the probe spins are delivered via a nearby located coplanar waveguide. Then the diamond surface is functionalized with different free radical chemical species acting as dark spin probes which can be detected via double electron-electron resonance protocols. By relying on exchange interactions that shorten the T1 and T2 times of the spin probe molecules [6], the concentration of paramagnetic species in the liquid phase can be estimated. However, the influence of diamond surface effects on the estimation outcome has to be considered. The measurements can be compared with data obtained from liquid phase EPR, in order to evaluate the sensitivity of our hybrid magnetometer. With this approach, we pave the way toward a magnetometry-based detection of dissolved paramagnetic species in liquid solutions as a first step toward microscale oximetry performed with single defect centers in diamond.
[1] I. Aharonovich, S. Castelletto, D. A. Simpson, C-H. Su, A. D. Greentree and S. Prawer, Rep. Prog. Phys. 74, 076501 (2011).
[2] L. Childress, M. V. Gurudev Dutt, J. M. Taylor, A. S. Zibrov, F. Jelezko, J. Wrachtrup, P. R. Hemmer, M. D. Lukin, Science Vol. 314, 281-285 (2006).
[3] P. Maletinsky, S. Hong, M. S. Grinolds, B. Hausmann, M. D. Lukin, R. L. Walsworth, M. Loncar & A. Yacoby, Nature Nanotechnology 7, 320-324 (2012) .
[4] A. O. Sushkov, N. Chisholm, I. Lovchinsky, M. Kubo, P. K. Lo, S. D. Bennett, D. Hunger, A. Akimov, R. L. Walsworth, H. Park, and M. D. Lukin, Nano Lett. 14, 6443minus;6448 (2014).
[5] S. Kolkowitz, Q.P. Unterreithmeier, S.D. Bennett and M.D. Lukin, Phys. Rev. Lett. 109, 137601 (2012).
[6] D. Marsh and V. A. Livshits, Phys. Med. Biol. 43 (1998).
12:15 PM - DD7.03
NV-Centers in Diamond Nanostructures for Sensing of Magnetic Moments with High Lateral Resolution
Claudia Widmann 1 Christian Giese 1 Nicola Lang 1 Jan Meijer 2 Christoph E. Nebel 1
1Fraunhofer IAF Freiburg Germany2University of Leipzig Leipzig Germany
Show AbstractThe properties of the NV- center have been used in recent years for developing NV--based scanning probe and wide-field magnetometers with high sensitivity and spatial resolution, capable of magnetic imaging of electron spins on the nanoscale. Their performance with respect to sensitivity and spatial resolution needs, however, significant improvements to image single electron and nuclear spins, needed for applications in future high-density magnetic storage disks or for studying proteins and cellular structures.
In this presentation we report about the realization of single crystalline diamond structures from ultra-pure diamond by ICP etching or selective growth. The etched conical nanopillar structures have a typical tip diameter of 200 nm and a length of ca. 1 µm. Each structure contains a single NV-center at the apex. This can be realized by shallow (5 to 7 nm) implantation of nitrogen with a flux of about 108 cm-3 using 5 kV acceleration voltage or by delta doping with nitrogen in the gas phase during growth. To optimize the optical excitation and read-out properties through the tips we have applied Comsol® calculations in order to determine required tip geometries. The geometrical properties of the structures are characterized with scanning electron microscopy (SEM) and the optical properties with confocal micro-photoluminescence spectroscopy (m-PL). Finally, the tips are characterized by m-PL experiments and anti-bunching measurements to investigate the yield of NMR-tip formation as well as the number of NV centers in each tip.
12:30 PM - DD7.04
Efficient Photon Collection from a Nitrogen Vacancy Center in a Circular Bullseye Grating
Luozhou Li 1 Edward Chen 1 Jiabao Zheng 2 Sara Mouradian 1 Florian Dolde 1 Tim Schroder 1 Sinan Karaveli 1 Matthew Markham 3 Daniel Twitchen 3 Dirk Englund 1
1MIT Cambridge United States2Columbia University New York United States3Element Six Santa Clara United States
Show Abstract
The exceptional optical and spin properties in diamond has led to the demonstration of a wide range of quantum technologies including quantum entanglement, teleportation, and sensing. Central to all of these experimental efforts is the efficient detection of the NV photoluminescence, which improves the sensitivity in metrology applications and allows for faster quantum information processing. However, efficient photon collection has been hindered by total internal reflection confinement due to the high refractive index of diamond. Previous approaches to address this problem in bulk materials include solid immersion lenses (1 milllion counts per second (Mcps) reported), vertical pillars (1.7 Mcps), optical antennas (0.6 Mcps) and silicon dioxide gratings (0.7 Mcps).
Here, we introduce circular diamond ‘bullseye&’ gratings fabricated with transferred silicon mask lithography. These circular gratings enable efficient collection in excess of 2.7 Mcps from a single NV center, as measured using g(2)-corrected saturation curves. Because of the high purity of diamond used, we also obtain long spin coherence times of ~ 1.7 ms. Back-focal-plane studies indicate efficient redistribution
into low-NA modes. The circular symmetry supports left- and right-handed circularly polarized light for spin-photon entanglement. The high collection efficiency provided by the bullseye structure promises improved proximal surface sensing, and combined with masked implantation, allows for the scalable fabrication of high-performance quantum devices such as multi-qubit quantum network nodes, room temperature single-photon sources for intensity standards, and single-shot spin readout.
Symposium Organizers
Philippe Bergonzo, The French Atomic Energy Commission (CEA), LIST Institute
Paul W May, University of Bristol
David A. J. Moran, The University of Glasgow
Robert J Nemanich, Arizona State University
Symposium Support
Applied Diamond, Inc.
Cividec Instrumentation GmbH
Cline Innovations
Fine Abrasives Taiwan Co., LTD
Fraunhofer USA Inc., Center for Coatings and Diamond Technologies
Microwave Enterprises LTD.
Plassys - Bestek
SEKI Diamond
DD11: Sensors II
Session Chairs
Wednesday PM, December 02, 2015
Hynes, Level 1, Room 109
2:45 AM - *DD11.01
Nanodiamond for Bio Labeling and Toxicity Evaluation in the Zebrafish Embryo In Vivo
Y.-C. Lin 1 K.-T. Wu 1 Z.-R. Lin 2 E. Perevedentseva 1 3 M.-D. Lin 4 Chia-Liang Cheng 1
1National Dong Hwa University Hualien Taiwan2National Dong Hwa University Hualien Taiwan3Russian Academy of Science Moscow Russian Federation4Tzu-Chi University Hualien Taiwan
Show AbstractNanodiamond (ND) has been proposed for various biomedical applications, including bio-imaging, bio-sensing and drug delivery, owing to its physical-chemical properties and biocompatibility. Particularly, ND has been demonstrated as Raman- and fluorescence-detectable label in many cellular models. Different surface functionalization methods have been developed, varying the ND&’s surface properties and rendering the possibility to attach biomolecules to provide interaction with biological target. For this, toxicity is of major concern in animal models. Establish a relatively simple, reliant and cost-effective animal test will greatly facilitate the nano-drug- delivery development. In this study, we design a rapid, sensitive and reproducible zebrafish embryo model for in vivo toxicity test. We optimize the conditions for the animal model and analyze the zebrafish embryo development in the presence of ND. ND is observed in the embryo in vivo using laser confocal fluorescence microscopy and fluorescence lifetime imaging.
The aim of this work is to explore the physicochemical properties of ND after microinjection into the zebrafish embryo. This is the first study to evaluate the embryonic development toxicity as well as the persistent effects on larval behavior after ND microinjection to embryos for 4-120 hour post-fertilization (hpf). We conducted a sequence of assessments for embryonic development toxicity including embryonic mortality, hatching rate, malformation percentage, and ND distributed inside the larval zebrafish. Zebrafish embryo was adopted as a model to evaluate the toxicity of ND, and toxic mechanism of ND is discussed. Taking embryonic toxicity and larval behavior together as indicators of evaluating ND toxicity will be more beneficial and comprehensive for the safety evaluation and biomedical applications. ND with drug complex is applied in the zebrafish cancer models for further studies and development of an anticancer drugs. The using of zebrafish model for a safety evaluation of ND-based nano-carrier or nano-label is discussed. This model is expected to be applicable for other nanoparticle systems.
3:15 AM - DD11.02
Saccharide-Modified Nanodiamond for Biomedical Applications
Anke Kruger 1 Stefan Wachtler 1 Sarah Schweeberg 1 Carina Stiller 1
1Wuerzburg University Wuerzburg Germany
Show AbstractAchieving a biocompatible surface termination is one of the major challenges for biomedical applications of nanodiamond. This does not only concern existing surface groups and their hydrophilicity, but also the effect of the groups concerning the zetapotential, agglomeration status and nonspecific binding of biomolecules such as proteins. In order to produce well-dispersed, homogeneously functionalized nanodiamond samples we developed a variety of chemical protocols for the surface functionlization of nanodiamond.
Here, we report on the modification of nanodiamond with different sugar moieties using covalent and non-covalente interactions. Arylation using diazoniums salts[1] as well as the Diels-Alder reaction[2] have been used to establish suitable surface groups for the grafting of the sugars using e.g. click chemistry, thiourea formation or radical reactions. We have achieved the immobilization of mannose and mannose-derived moieties as well as glucose.[3,4] Especially mannose plays a significant role in the recognition processes on cell surfaces, making it an interesting target for the surface modification of nanoparticles.
The sugar modified nanodiamond samples show advantageous properties such as high solubility in aqueous media and small particle size. They can be even purified by conventional column chromatography, a technique usually not applicable for colloidal nanoparticles. The applicability in recognition assays of these samples using bacteria will be reported as well. We find that such saccharide functionalized possess properties to fight biofilm formation and show a benign toxicity profile.
In summary, the surface functionalization of nanodiamond with saccharide moieties is a promising field for the bioapplication of the material, be it to increase the uptake of nanodiamond into cells e.g. for drug delivery as well as for labelling applications.
[1] G. Jarre, S. Heyer, E. Memmel, T. Meinhardt, A. Krueger, Beilstein J. Org. Chem. 2014, 10, 2729.
[2] G. Jarre, Y. Liang, P. Betz, D. Lang, A. Krueger, Chem. Commun. 2011, 47, 544.
[3] M. Hartmann, P. Betz, Y. Sun. S. N. Gorb, T. K. Lindhorst, A. Krueger, Chem. Eur. J.2012, 18, 6485.
[4] C. Fessele, S. Wachtler, V. Chandrasekaran, C. Stiller, T. K. Lindhorst, A. Krueger, Eur. J. Org. Chem. 2015, accepted.
DD12: Growth and Doping I
Session Chairs
Wednesday PM, December 02, 2015
Hynes, Level 1, Room 109
4:30 AM - *DD12.01
A Viable Route for Growing Diamond Films on Passivation Dielectrics - Integration of Diamond Electronics on CMOS Device Platforms
Rajesh Ramaneti 1 6 Christine Koerner 2 Peter Verhoeven 5 Xianjie Liu 3 Paulius Pobedinskas 1 Mats Falhman 3 Joff Derluyn 4 Ken Haenen 1 6
1Institute for Materials Research (IMO), Hasselt University Diepenbeek Belgium2Anton Paar GmbH Graz Austria3Linkouml;ping University Linkouml;ping Sweden4EpiGaN NV Hasselt Belgium5InnoPhysics BV Eindhoven Netherlands6IMOMEC, IMEC vzw Diepenbeek Belgium
Show AbstractDiamond's adamancy makes it a fascinating wide band gap material with immense potential for application to high power-high frequency electronics. The various figures of merit (high-frequency, specific on-state resistance, switching speed, power-handling capacity and power switching product) point to diamond's superior device performance. Yet the current state of the art devices are based on SiC and GaN primarily due to improvements associated with defect free material growth on large wafers. Hence, it is foreseen that with gradual improvements in film growth conditions, adoption of diamond based electronics will be evolutionary, starting with passive integration into ‘Beyond CMOS' based technologies and high power-high frequency electronics followed by active monolithic diamond based devices. The passive integration takes advantage of diamond&’s (bio) chemical stability, robustness, high thermal conductivity and large electric breakdown field. Current state of the art low temperature diamond growth indicates high potential for minimizing thermal reliability issues in CMOS based devices1,2. A key aspect to CMOS processing is the use of Chemical vapor deposited/Atomic Layer deposited passivation dielectrics - e.g. silicon nitride (S3N4), SiO2, Al2O3 and HfO2. Si3N4 is stable up to 800°C, pin-hole free with a high dielectric constant (7.5) and is proven to be biocompatible. In this work, we show a viable yet simple route to integration of MW PECVD grown diamond films onto high quality low stress Si3N4 amorphous layer/HEMT stacks. Uniform seeding density and growth is achieved by surface modification of the nitride to a hydrophilic oxynitride surface as indicated by low contact angles (< 10°), streaming zeta potential and XPS measurements. The nitride dielectric is found to be stable after diamond growth as indicated by specular FTIR measurements. A proof of concept demonstration shows a mask-less process for selective area diamond patterned structures that could resolve not only thermal reliability associated hot spot issues in high power devices but also make way for diamond based bio sensing devices on CMOS platforms.
Acknowledgements: Dr. Paulius Pobedinskas is a Postdoctoral Fellow of the Research Foundation - Flanders (FWO). We thank Prof. Jan D'Haen/Bart Ruttens (SEM) and Huguette Penxten (FTIR access).
Corresponding author:[email protected]
References : 1, R. Ramaneti*, J. Derluyn, K. Haenen, “Diamond films on CMOS passivation dielectrics for thermal management applications”, Hasselt Diamond Workshop 2015 - SBDD XX, cultuurcentrum Hasselt, Hasselt, Belgium, February 25-27, 2015. 2. S. Drijkoningen*, M. Nesládek, P. Pobedinskas, K. Haenen, “Ultralow temperature diamond growth with high frequency pulsed microwave linear antenna technology”, 9th International Conference on New Diamond and Nano Carbons (NDNC2015), Shizuoka GRANSHIP, Shizuoka, Japan, May 24-28, 2015
5:00 AM - DD12.02
Diamond Synthesis from Carbon Nanofibers at Unexpectedly Low Temperature and Low Pressure
Chengzhi Luo 1
1Wuhan University Wuhan China
Show AbstractDiamond has broad applications with its wide range of extreme properties. The conversion of carbon material (such as graphite and carbon nanotubes) into diamond is of considerable technical interest and therefore remains an exciting field in both experimental and theoretical studies. Although carbon material can be converted to diamond, the high temperature and high pressure are needed or the catalysts are presence. In addition, the normal approaches have serious limitation from low production volumes, low yield and high costs.
Here we report a new route to synthesize diamond, which is converted from a kind of novel “solid-cored&’ carbon nanofibers (CNFs) in a spark plasma sintering (SPS) system under unexpected low temperature and low pressure (even at atmospheric pressure) conditions. Well-crystallized diamond crystals are obtained at the tips of the CNFs after sintering at 1500 0C and atmospheric pressure.
Combining with SEM, TEM, EELS and Raman spectroscopy observations, we propose the convention mechanism as follows: the disorder “solid-cored” CNFs → well crystallined CNFs → bent graphitic sheets → onion-liked rings → diamond single crystal → the bigger congregated diamond crystal. It is believed that the plasma generated by low-voltage, vacuum spark, via a pulsed DC in SPS process, plays a critical role in the low temperature and low pressure diamond formation. This SPS process may provide a new route for diamond synthesis in an economical way to a large scale.
5:15 AM - DD12.03
Lift-Off of Single Crystal Diamonds Irradiated by Proton, Carbon and Oxygen Beams Using Thermal Oxidation
Matthias Muehle 1 2 Asghar Kayani 3 Michael Becker 1 Ascanio Recchi 1 Samanthi Wickramarachchi 3 Thomas Schuelke 1
1Fraunhofer USA, Center for Coatings and Diamond Technologies East Lansing United States2Michigan State University East Lansing United States3Western Michigan University Kalamazoo United States
Show AbstractA challenge for homoepitaxially growing larger single crystalline diamonds (SCD) is the separation of seed crystals after the microwave plasma assisted chemical vapor deposition (MPACVD) process. Conventional laser cutting leads to substantial material loss with increasing lateral sizes due to the cutting wedge profile [1]. A possible solution to minimize material loss is offered by lift-off processes where a thin subsurface damage layer through ion implantation is created [2]. The high temperature MPACVD diamond growth process leads to the formation of a graphitic layer of a few mu;m thickness in the ion beam damaged region. Separation of the grown material from the seed substrate is then performed by wet Removal or thermal oxidation of the graphitic layer.
Proton and carbon ion beam interactions with SCD were modeled with Monte Carlo simulations [3]. Proton energies of 300 - 700 keV result in practical penetration depths of a few mu;m. Similar results are achieved with 3 MeV carbon and oxygen ion beams. The minimum damage threshold was determined based on each ion type and energy. Ion beam irradiation experiments were carried out using a 6 MeV tandem Van De Graaff accelerator. SCDs have been irradiated with 700 MeV protons with doses between 5 × 1015 to above 7.5 × 1017 cm-2, as well as with carbon and oxygen ions in the 1016 cm-2 regime. Substrates turned dark after beam exposure. MPACVD deposition was performed on these samples with substrate temperatures exceeding 900 °C. The dark coloration remained indicating a full graphitization of the damaged layer. The substrates have been laser cut to its initial dimensions and side-polished after SCD growth.
Thermal oxidation is a good way of selectively etching graphite. Graphite is oxidized to CO and CO2 above 550 °C in a pure oxygen environment, while diamond oxidizes above 600 °C. By using a furnace with an oxygen atmosphere we were able to successfully lift off CVD-grown material from the seed crystal to obtain freestanding SCD films. Various parameters of the furnace process, such ambient temperature, oxygen flow and dwell time inside the chamber have been investigated using graphite (sp2) and SCD (sp3) samples to optimize the process. Increasing the ambient temperature showed a significant effect on the etch rate of graphite, while diamond remained unchanged. Variations in the oxygen flow showed little to no effect. Using oxygen ions irradiation should enhance the CO and CO2 formation. SCDs are irradiated with carbon and oxygen ions to study such effects and identify the ion more suitable for the lift-off procedure.
References
[1] Muehle et al., 2014, Diamond and Related Materials 42, 8 - 14
[2] Mokuno et al., 2008, Diamond and Related Materials 17, 415 - 418
[3] Ziegler et al., 2008, SRIM- The Stopping and Range of Ions in Matter
5:30 AM - DD12.04
Structural and Electrical Properties of Double-Domain Heteroepitaxial AlN on (001) Diamond Substrate
Yuya Hayashi 1 Wako Ono 1 Daisuke Matsumura 1 Atsushi Hiraiwa 1 2 Hiroshi Kawarada 1 2 3
1Waseda Univ. Tokyo Japan2Institute of Nano-Science and Nano-Engineering Tokyo Japan3Kagami Memorial Research Institute for Materials Science and Technology Tokyo Japan
Show AbstractAlN films have higher thermal conductivity and larger breakdown field comparing to other wide band-gap semiconductors such as GaN and SiC. It also may become n-type semiconductor by doping impurities. There is one report on an almost strain-free wurtzite AlN growth on diamond (001) substrate by Molecular Beam Epitaxy (MBE) [1]. It indicates the feasibility of n-p junction made of AlN and diamond not only for ultra violet LED, but also for power devices combined with diamond field effect transistor as a part of super junction or a collector part of IGBT.
In this experiment, AlN layers were grown by MBE method on diamond (001) substrates. While growing AlN layers on diamond (001) substrates, we could observe the surface structure by using Reflection High Energy Electron Diffraction (RHEED). (001)-diamond substrates have larger and smoother surface comparing to other orientations. An X-ray in-plane diffraction (XRD) was used to know the relationships between diamond (001) substrate and AlN grown by MBE.
Crystallinity of AlN was analyzed by different angles using XRD. (0001)-peak was seen by 2theta;minus;omega; measurement of AlN on diamond (001). A result of this measurement shows that an AlN was grown c-axis to diamond (001). By measuring diamond (110) surface by 2theta;chi;minus;phi; in-plane diffraction, AlN (10-10) and AlN (11-20) peaks were seen. From this result, diamond (110), AlN (10-10), and AlN (11-20) are parallel to each other and confirmed double domain. We also saw twelve peaks in phi; scan measurement by AlN (10-10) reflection at even intervals. It is considered that twelve peaks at even intervals were seen due to 90 degrees rotated hetero-epitaxial structure [2]. From these results, epitaxial AlN (0001) layers were grown double domain on the diamond (001) substrate.
Electrical characteristics of AlN (0001) on p-type diamond (001) substrate were measured by I-V measurement. Reproducibility was seen in electrodes with different diameters. From the waveform, it is conceivable that two different components such as thermionic emission and Poole-Frenkel have combined.
The higher reproducibility was obtained with the smaller diameter of AlN on diamond. This is because the probability of leakage due to defects will be higher in large diameter electrodes. Diamond surface is not intentionally H-terminated before MBE and C-H bonds if present can be decomposed easily at high temperature treatment before MBE. Instead of H termination, N-termination is possible in the present AlN/diamond interface. For this reason, positive electron affinity of ~1.0 eV is expected on diamond side of AlN/diamond interface. Valence band offset becomes small for blocking of hole current from the p-type diamond. The leakage current was observed due to the hole injection current from p-diamond. From that we expect also no blocking barrier for electron from AlN to diamond.
[1] G. Vogg, et la: J. Appl. Phys. 96 (2004) 895
[2] K. Hirama, et la: J. Appl. Phys. 49, 04DH01 (2010)
5:45 AM - DD12.05
High-Reliability SiO2 Films Formed on Diamond by Thermal Oxidation of Si
Takeshi Hara 1 Atsushi Hiraiwa 1 Hiroshi Kawarada 1
1Waseda University Tokyo Japan
Show AbstractWe have succeeded in operating diamond MOSFETs at a high voltage of over 600V and at a high temperature of up to 400°C [1] forming an ALD-Al2O3 film as a gate insulator and a passivation film for the p-type surface conduction layer induced under a hydrogen-terminated diamond surface [2]. In order to further enhance the blocking capability and thermal stability of the diamond MOSFETs, the reliability of the gate-insulation/passivation film needs to be improved, and SiO2 is accordingly promising as a replacement for the Al2O3 because of a large bandgap, few bulk traps, high temperature stability without crystallization, etc. The SiO2 has traditionally been formed on substrates other than Si and SiC using CVD, ALD, or PVD because it cannot be formed by thermally oxidizing the substrates. The SiO2 films thus formed are quite different from thermally grown ones due to impurity incorporation from reactant gasses, plasma damage, non-stoichiometry, etc. Here we report a method of forming high-reliability SiO2 films on diamond by thermal oxidation of Si.
The substrates were (001)-orientated diamond films homo-epitaxially grown on type IIb plates and p-type (100) Si substrates for reference. We first deposited amorphous Si films on the substrates using an electron-beam evaporation method and oxidized the films in a wet oxygen environment at 550-650 0C, forming SiO2 films. Then, gate electrodes were formed thermally evaporating Al through a metal mask and, hence, MOS capacitors were completed. The insulation characteristics of these MOS capacitors were measured applying negative biases to the gates, under which condition the same electric characteristics are expected for both the diamond and Si substrates as long as film qualities are the same.
The SiO2 film formed on diamond by 650 0C oxidation was insulating but allowed a relatively large leakage current compared to conventional thermal oxides. The leakage current of the SiO2 film formed on Si at the same time was also large although it is smaller than that on diamond, the origin of which difference is now under study. Another problem with these films was many defective MOS capacitors with these films due to Si grain boundaries generated by crystallization during the oxidation. Note that amorphous Si crystallizes at above 600 0C. On the other hand, the MOS capacitors that have SiO2 films formed at 550 0C were much less defective compared to those formed at 600 and 650 0C. The leakage current of these 550 0C films was still relatively large but reduced by a high temperature annealing performed after the oxidation. Hence a high-reliability SiO2 film is successfully formed by thermal oxidation of Si. The details of electric characteristics of these films will be presented at the meeting.
[1]H. Kawarada, et al., Appl. Phys. Lett. 105 (2014) 013510.
[2]A. Hiraiwa, H. Kawarada, et al., J. Appl. Phys.112 (2012) 124504, J. Appl. Phys. 117 (2015) 215304.
DD9: Quantum Sensing II
Session Chairs
Wednesday AM, December 02, 2015
Hynes, Level 1, Room 109
10:00 AM - DD9.01
Wide-Field Imaging of Magnetic Devices Using Solid State Spins in Diamond
David A Simpson 1 2 Jean-Philippe Tetienne 1 Julia McCoey 1 Kumaravelu Ganesan 1 Liam Hall 1 Steven Petrou 1 2 Robert Scholten 1 Lloyd Hollenberg 1 2
1University of Melbourne Parkville Australia2University of Melbourne Melbourne Australia
Show AbstractImaging of magnetic materials has provided enormous insight into the physical and chemical processes surrounding magnetism, and has been a key ingredient in the spectacular development of magnetic data storage over the last decades. Magnetic imaging techniques are generally characterized by their spatial and time resolution, but criteria such as sensitivity, invasive nature, field of view, cost and simplicity of use, are also of primary importance. For instance, techniques based on electron or x-ray microscopy provide high spatial resolution down to a few nanometres, but require expensive complex apparatus, a dedicated sample preparation and often operate in well control vacuum environments. On the other hand, simpler techniques such as magnetic force microscopy (MFM) and magneto-optical Kerr microscopy (MOKE) are routinely used for rapid characterisation of magnetic devices. However, the magnetic tips used in MFM can often impact the fragile magnetisation states of magnetic samples, while MOKE microscopy is restricted to materials that exhibit a measurable Kerr response. Therefore the development of a universal magnetic imaging technique which can be applied to any material producing a stray magnetic field and which operates with sub millisecond temporal resolution is highly desirable.
In this work, we demonstrate the magneto-optical response from an array of nitrogen-vacancy spins in diamond can be used to image sub-micron magnetisation patterns from thin ferromagnetic films. Using optically detected magnetic resonance, we demonstrate wide-field magnetic imaging over 100x100 µm2 with a diffraction-limited spatial resolution of 440 nm and an imaging temporal response of 10 ms under ambient conditions. In addition, we present an all optical magnetic imaging approach which can image magnetic structures in the absence of an applied microwave field. Our technique establishes diamond-based wide-field microscopy as a novel method for rapid, sensitive characterisation and imaging of magnetic phenomenon in a host of magnetic materials.
10:15 AM - DD9.02
Identification of Surface Spins on Diamond Surface
Adam Gali 1 2 Jyh-Pin Chou 1 Nathalie P de Leon 3 Alastair Stacey 4
1Wigner Research Ctr for Physics Budapest Hungary2Budapest University of Technology and Economics Budapest Hungary3Harvard University Cambridge United States4University of Melbourne Melbourne Australia
Show AbstractThe nitrogen-vacancy (NV) centers in diamond is one of the most promising quantum nanosensors due to its remarkable physical stability and photophysical property. The NV centre is a well-known point defect in diamond where the nitrogen atom substitutes one carbon atom in the lattice adjacent to a vacancy. To enhance the efficiency of diamond nanosensor, the NV defects should be placed as close as possible to the surface. Consequently, the surface morphology and impurity would significantly influence the optical properties of NV centres. The optically detected magnetic resonance spectroscopy measurements [1] indicated that low surface spin density is sufficient to cause spin relaxation of a shallow NV centre and the dominant source of the magnetic noise may come from surface impurities. The strong temperature dependence of T1 time reveals that the surface fluctuations are thermally activated. A clear understanding of diamond surface spin will be crucial for further improving the sensitivity and resolution of diamond nanosensor.
In order to understand the nature of the surface spin, we studied several models of surface impurity/defects on (100) diamond surface with realistic surface termination by ab-initio supercell calculations. We applied such methodology which is able to correctly reproduce the band gap, the surface states and the defect levels in the gap of diamond [2]. We searched for such dangling bond defects that can be stable close to the surface of diamond. We identified carbon dangling bond defects that can naturally occur at oxygen terminated (100) diamond surface after polishing and reactive ion etching treatments of diamond. We found a basic annihilation mechanism of surface spins that may be realized by anneal in vacuum in the experiments.
EU FP7 DIADEMS grant is acknowledged.
[1] T. Rosskopf, A. Dussaux, K. Ohashi, M. Loretz, R. Schirhagl, H. Watanabe, S. Shikata, K. M. Itoh, and C. L. Degen, Phys. Rev. Lett. 112, 147602 (2014)
[2] Moloud Kaviani, Peter Deák, Bálint Aradi, Thomas Frauenheim, Jyh-Pin Chou , and Adam Gali, Nano Letters 14, 4772-4777 (2014)
10:30 AM - DD9.03
Wide-Field Sensing with Nitrogen Vacancy Centers in Polycrystalline Diamond
Matthew Trusheim 1 Dirk Englund 1
1Massachusetts Institute of Technology Cambridge United States
Show AbstractDue to its long-lived electron spin coherence at room temperature, the nitrogen vacancy (NV) center in diamond has found wide application as a nanoscale sensor of various quantities including temperature, pressure, and electromagnetic fields. Typically the NVs employed in these experiments are embedded in single-crystal diamond, which offers a homogeneous lattice nominally free from strain or local concentrations of defects. Polycrystalline diamond is less explored, and while it can be produced with less expense and in greater volume, it is heterogeneous and contains large strains as well as varying inclusions of paramagnetic defects. In this work, we first use the optically detected magnetic resonance of native NV centers in ultra-pure polycrystalline diamond to image spatially varying crystalline strain with high absolute sensitivity ( < 10-5) and diffraction-limited resolution across a wide field of view. In addition, we observe the change in relevant NV parameters, such as preferred spin axis orientation and coherence time, as a function of strain and grain growth direction within the polycrystalline diamond.
10:45 AM - DD9.04
Single-Mode Diamond Waveguides on a Native Diamond Substrate
Richard Ryan Grote 1 David Hopper 1 Annemarie Exarhosa 1 Lee Bassett 1
1University of Pennsylvania Philadelphia United States
Show AbstractThe use of diamond for photonic integrated circuits has become increasingly important for applications in quantum information science and nanoscale sensing. These applications stem from the existence of fluorescent defect centers within the diamond, most notably the nitrogen-vacancy, which has long coherence times at room temperature, can be controllably placed within the crystal, and does not bleach. While these color centers have spawned many exciting potential applications, integrating fluorescent defects into photonic devices has proved challenging due to the high refractive index of diamond (n asymp; 2.4 in the visible), which must be surrounded by lower-index materials to achieve optical confinement. To date, diamond waveguide fabrication has only been achieved by transferring thin layers of diamond to a lower-index support substrate, undercutting the diamond to create free-standing structures, or by using a higher index guiding layer such as GaP.
In this talk, we present the design of highly confined single-mode diamond waveguides on a native diamond substrate. The waveguides are formed using a deep anisotropic diamond etch to create high aspect ratio slabs (200 nm wide by 5mu;m high) and subsequently depositing dielectric layers to create stacked slab waveguides. By choosing the appropriate dielectrics, the vertically-stacked slab waveguides provide both vertical and horizontal optical confinement in a diamond region with diffraction-limited dimensions in the visible and near-infrared. Unlike previous diamond waveguide designs, these stacked-slab waveguides do not require the transfer of thin films and do not leave free-standing structures. We present approximate analytical expressions for waveguide design parameters including dispersion, group velocity, and confinement factor, as well as critical dimensions to ensure single-mode operation and low-leakage into the diamond substrate. These expressions are verified with finite-element-method calculations of a slab waveguide stack consisting of Si3N4 clad diamond atop SiO2 clad diamond. We show that the confinement factor and group velocity of these stacked slab waveguide modes are comparable to conventional diamond channel waveguide modes, and that the high confinement leads to a minimum bend radius of < 20 mu;m. Finally, we propose several potential applications for these waveguides including magnetic sensing for biological applications.
DD10: Surfaces
Session Chairs
Wednesday AM, December 02, 2015
Hynes, Level 1, Room 109
11:30 AM - *DD10.01
Engineering the Strength of the Spin-Orbit Interaction in Two-Dimensional Surface Conducting Diamond
Chris Pakes 1 Golrokh Akhgar 1 Oleh Klochan 2 Mark Edmonds 3 Laurens Willems van Beveren 4 Jeffrey McCallum 4 Lothar Ley 5 1 Alex Hamilton 2
1La Trobe University Melbourne Australia2University of New South Wales Sydney Australia3Monash University Clayton Australia4The University of Melbourne Melbourne Australia5Universitat Erlangen Erlangen Germany
Show AbstractWhen hydrogenated diamond surfaces are exposed to air, electrons are transferred from the diamond into an adsorbed water layer resulting in a sub-surface hole accumulation layer and high p-type surface conductivity [1]. This allows hole sheet densities as high as 4×1013 cm-2 to be achieved, and has led to significant interest for chemical and biological sensor applications and the development of high-power field effect transistors.
Many experiments have reported an exponential decrease in the sheet conductivity as the temperature is reduced [2], driven by carrier freeze-out, which prohibits the exploration of the electronic behaviour of this system at low temperature. However, surface transfer doping of H-terminated diamond occurs with no activation energy, so a high sheet conductivity can be maintained in low disorder samples, permitting the study of magnetotransport effects at low temperature. Magnetotransport measurements have revealed the presence of quantum corrections in the Drude conductivity arising from phase coherent backscattering in the form of weak localisation and weak anti-localisation, the latter arising from a strong spin-orbit interaction at the diamond surface [3].
By gating the surface-conducting layer, the sheet conductivity may be tuned from insulating to metallic conduction, with a concurrent modulation in the hole sheet density. Through an analysis of the evolution of the phase coherent backscattering effects as the hole sheet density is modulated, we shall explore the origin of the weak anti-localisation effects and show that the spin-orbit interaction strength can be engineered using an electrostatic gate.
The spin-3/2 nature of holes in surface conducting diamond provides a rich environment for the study of carbon based spintronics. We shall discuss the potential to realise devices using H-terminated diamond in which spin coherent transport can be studied.
[1] F. Maier, M. Riedel, B. Mantel, J. Ristein and L. Ley, Phys. Rev. Lett. 85, 3472 (2000).
[2] C. Nebel, C. Sauerer, F. Ertl, M. Stutzmann, C.F.O. Graeff, P. Bergonzo, O.A. Williams, and R. Jackman, Appl. Phys. Lett. 79, 4541 (2001).
[3] M.T. Edmonds, L.H. Willems van Beveren, O. Klochan, J. Cervenka, K. Ganesan, S. Prawer, L. Ley, A.R. Hamilton, and C.I. Pakes, Nano Letters 15, 16 (2015).
12:00 PM - DD10.02
Surface Electronic Properties of Nitrogen Terminated Single Crystal (100) Diamond
Maneesh Chandran 1 Shaul Michaelson 1 Alon Hoffman 1
1Technion-Israel Institute of Technology Haifa Israel
Show AbstractRecent simulation studies suggest that nitrogen terminated diamond surfaces are expected to enhance the formation of shallow NV#713; centers. Herein, we report on the surface chemical and electronic properties of N-terminated single crystal (100) diamond. Nitrogen termination is realized by incorporation of nitrogen atoms utilizing an indirect RF nitrogen plasma process without inducing a large density of surface defects. Plasma exposure was performed in a UHV chamber (8×10-10 Torr), equipped with an RF generator (36 W, 40 kHz) at a partial pressure of 2.5×10-3 Torr for 30 min at room temperature. Surface concentration and thermal stability of the N-terminated diamond surface are investigated by in-situ x-ray photoelectron spectroscopy and high resolution electron energy loss spectroscopy by stepwise annealing to 1000 °C. It was found that in-situ annealing to 1000 °C resulted in a nitrogen surface density of nearly equal to the surface atomic density of (100) diamond. By HREELS a detailed picture of the nitrogen bonding configuration is described. An increase in the low energy cut-off of the secondary electron energy distribution curve (EDC), with respect to a bare diamond surface, indicates a positive electron affinity for the N-terminated diamond. The decrease in the intensity of SEE spectrum indicates that nitrogen is incorporated into the sub-surface region of diamond upon annealing. The change in spectral features of the EDC of nitride diamond surface is attributed to the internal energy distribution of secondary electrons due to increased electron-impurity scattering during transport. Exposure to atomic hydrogen results in reorganization of N-terminated diamond to H-terminated diamond that displayed negative electron affinity. The change in intensity and spectral features of the secondary electron EDC of the N-terminated diamond and their correlation with surface electronic properties of the surface are discussed in detail.
12:15 PM - DD10.03
Improving Surface Transfer Doping of Diamond with MoO3 Using ALD Process
Moshe Tordjman 2 Cecile Saguy 2 Boris Meyler 1 Rafi Kalish 2
1Technion-Israel Inst. of Technology Haifa Israel2Technion- Israel Inst. of Technology Haifa Israel
Show AbstractRecently, H terminated diamond coated with a nm thick layer of Molybdenum Trioxide (D:H/MoO3) was shown to induce transfer doping, resulting in a p-type conductive surface layer, stable up to at least 350°C, with electrical properties significantly higher to those induced by other molecules adsorbate layers [1,2]. Using this transfer doping, a p-type MOSFET based on a heterointerface of hydrogenated-diamond transfer doped with MoO3 has been demonstrated [3].
In the above works, D:H/MoO3 was prepared by thermal evaporation of MoO3 . The resulting layer was not smooth nor was its deposition well under control. It is thus of importance to find a way for reliable MoO3 deposition which will yield better electrical properties and will be adaptable to standard transistors fabrication process technology.
Here we present a surface conductive layer of D:H/MoO3 prepared by atomic layer deposition (ALD) of MoO3 with improved properties. This deposition method results in smooth surface morphology, as measured by AFM and STM, (roughness down to a few nm&’s), with excellent thickness control. The surface conductivity of ALD coated D:H/MoO3 was deduced from Hall Effect measurements as function of temperature (77K3 coverage (30A) a total areal hole density at room temperature of 1x1014cm-2 with a hole mobility of 30 m2/V Sec was measured. These values exceed those reported for the same thickness coverage of MoO3 using thermal evaporation.
The ALD coating of Diamond:H with MoO3 results in superior electronic properties of the thus transfer doped surface, making Diamond:H-based electron device feasible to be fabricated through a flexible and robust process most attractive.
[1]. Russell et. al . Applied Physics Letters, 103, 202112 (2013).
[2]. Tordjman et. al. Advanced Materials Interfaces , 20130#8203;0155, (2014).
[3]. Vardi et.al. IEEE Electron Device Letters, 35,12, (2014).
12:30 PM - DD10.04
Plasma Enhanced ALD of Al2O3 and SiO2 Dielectric Layers on H-Terminated Diamond: Controlling Surface Conductivity
Yu Yang 1 Brianna S. Eller 1 Franz A. Koeck 1 Timothy A. Grotjohn 2 Robert J. Nemanich 1
1Arizona State University Tempe United States2Michigan State University East Lansing United States
Show AbstractRecent research employing dielectric layers on hydrogen-terminated diamond have shown breakthroughs in high voltage and high frequency FET operation. These device configurations have employed atomic layer deposition (ALD) to provide gate dielectric layers that also maintain the two-dimensional hole accumulation layer, which has been ascribed to charge transfer doping. While plasma enhanced ALD (PEALD) has been used to form high quality dielectric layers for other semiconductor devices, the oxygen plasma step is thought to degrade the 2D hole accumulation layer in H-terminated diamond. The aim of the study is to investigate how the surface conductivity of hydrogen-terminated diamond can be preserved and stabilized by using a dielectric passivation layer with an in situ treatment. Thin layers of Al2O3 and SiO2 are grown by PEALD on H-terminated undoped and boron-doped diamond (100) surfaces and control measurements on Si(100). The band offsets and band bending are measured by in situ XPS and UPS and results are related to possible device structures. The initial PEALD of 2 nm of Al2O3 or SiO2 results in a shift of the C1s core level consistent with removing the surface conducting layer. A hydrogen plasma step restores the C1s core level position. Further PEALD growth does not appear to degrade the surface conducting layer. Comparison with the Si control shows the in situ H-plasma step does not affect the Si core level positions on the band bending. This work provides insight into new approaches to control the two-dimensional hole-accumulation layer of hydrogen terminated diamond and improve the stability and performance of hydrogen-terminated diamond electronic devices.
Research supported by MIT-Lincoln Laboratories.
12:45 PM - DD10.05
Engineering Diamond Surfaces for Quantum Applications: Nitrogen Terminated Diamond
Alastair Stacey 1 8 Kane O'Donnell 2 Jyh-Pin Chou 3 Alex Schenk 4 Chris Pakes 4 Jiri Cervenka 5 Anton Tadich 6 Nikolai Dontschuk 1 David A Simpson 1 8 Jean-Philippe Tetienne 1 8 Liam Hall 1 8 Adam Gali 3 Alon Hoffman 7 Steven Prawer 1 8 Lloyd Hollenberg 1 8
1The University of Melbourne Parkville Australia2Curtin University Bentley Australia3Hungarian Academy of Sciences Budapest Hungary4LaTrobe University Melbourne Australia5AVCR Prague Czech Republic6Australian Synchrotron Clayton Australia7Technion - Israel Institute of Technology Haifa Israel8Centre for Quantum Computation and Communication Technologies Melbourne Australia
Show AbstractHere we present a novel surface termination scheme for diamond devices1, based on singly substitutional nitrogen, and show how it has been engineered to provide an ideal materials interface for quantum applications.
Defect centres in diamond are exciting players in the quantum technologies revolution, driving advances in quantum computing and sensing applications.2,3 As these technologies begin to be applied in real devices, these optically active defects are being increasingly located within nanometres of the diamond surface,4 where their quantum properties such as coherence time and spectral width are reported to experience significant degradation,5 compared to their bulk properties. These effects act as noise for any quantum application and are a major hurdle for realization of real-world devices. Most of the attempts to date to control the chemical surface of diamond have involved termination with H and/or O, and despite intensive efforts it is clear that unwanted electronic spins remain on these surfaces.4,6,7 Furthermore, the unoccupied electronic states associated with these surfaces have been implicated as the cause of degraded photophysical properties,8 by introducing blinking and quenching phenomena.
We will provide experimental evidence of nitrogen terminated diamond surfaces, and report detailed surface characterization with evidence of their surface chemistry and electronic structure. We will also detail simulations which detail why nitrogen terminated diamond surfaces are predicted to be free of unwanted electronic spins, and report our first efforts at defect centre metrology near these surfaces.
1 Stacey, A. et al. Nitrogen Terminated Diamond. Advanced Materials Interfaces, n/a-n/a, doi:10.1002/admi.201500079 (2015).
2 Schirhagl, R., Chang, K., Loretz, M. & Degen, C. L. Nitrogen-Vacancy Centers in Diamond: Nanoscale Sensors for Physics and Biology. Annu. Rev. Phys. Chem.65, 83-105, doi:10.1146/annurev-physchem-040513-103659 (2014).
3 Kucsko, G. et al. Nanometre-scale thermometry in a living cell. Nature500, 54-58, doi:10.1038/nature12373 (2013).
4 Rosskopf, T. et al. Investigation of Surface Magnetic Noise by Shallow Spins in Diamond. Phys. Rev. Lett.112, 147602 (2014).
5 Wrachtrup, J., Jelezko, F., Grotz, B. & McGuinness, L. Nitrogen-vacancy centers close to surfaces. MRS Bulletin38, 149-154, doi:doi:10.1557/mrs.2013.22 (2013).
6 Grinolds, M. S. et al. Subnanometre resolution in three-dimensional magnetic resonance imaging of individual dark spins. Nat Nano9, 279-284, doi:10.1038/nnano.2014.30 (2014).
7 Bernhard, G. et al. Sensing external spins with nitrogen-vacancy diamond. New J. Phys.13, 055004 (2011).
8 Kaviani, M. et al. Proper Surface Termination for Luminescent Near-Surface NV Centers in Diamond. Nano Lett.14, 4772-4777, doi:10.1021/nl501927y (2014).
Symposium Organizers
Philippe Bergonzo, The French Atomic Energy Commission (CEA), LIST Institute
Paul W May, University of Bristol
David A. J. Moran, The University of Glasgow
Robert J Nemanich, Arizona State University
Symposium Support
Applied Diamond, Inc.
Cividec Instrumentation GmbH
Cline Innovations
Fine Abrasives Taiwan Co., LTD
Fraunhofer USA Inc., Center for Coatings and Diamond Technologies
Microwave Enterprises LTD.
Plassys - Bestek
SEKI Diamond
DD13: Electronic Devices II
Session Chairs
Thursday AM, December 03, 2015
Hynes, Level 1, Room 109
9:45 AM - *DD13.01
Diamond MOSFETs with High-K Gate Oxides
Yasuo Koide 1 2 3
1National Institute for Materials Science (NIMS) Tsukuba Japan2NIMS Tsukuba Japan3NIMS Tsukuba Japan
Show AbstractDiamond has an attractive interest as one of next-generation power electronics materials. Recently, development of thermally-stable, high-current diamond field effect transistors (FETs) with Al2O3 gate and passivation dielectrics deposited by an atomic layer deposition (ALD) technique were demonstrated. In addition, since the sheet hole density in the hydrogenated-diamond (H-diamond) surface was reported to be as high as 1E14 cm-2 which was one or two orders larger than other semiconductor FETs. Therefore, we should use such the big advantage and then have to develop the high-k gate dielectric for diamond in order to control the high-density hole carrier. Since the high-k dielectric provides the large capacitance at a given gate voltage, the controllable carrier density is predicted to be increased with increasing the dielectric constant. For this purpose, as a first step to search the best high-k insulator material to the diamond, we have demonstrated the diamond FETs with high-k HfO2/HfO2, LaAlO3/Al2O3 Ta2O5/Al2O3, and ZrO2/Al2O3 stack gates prepared by a combination of sputter-deposition (SD) and ALD techniques. Since the MOSFET property is sensitive to the interfacial states between the diamond and dielectric and the border traps in the dielectrics, it is essential to obtain the guideline for developing the excellent gate dielectric for diamond. In this paper, we will show why the high-k dielectric insulator is required for diamond and demonstrate the LaAlO3, HfO2, Ta2O5, and ZrO2 as the gate insulator of the FETs using the H-diamond p-type channel.1 In addition, we&’ll demonstrate a guideline to control the normally-on/off operation of the MOSFET, which leads to a possibility for applying the diamond MOSFET to the integrated and power device circuits.
Reference
1J-W. Liu, M-Y. Liao, M. Imura, A. Tanaka, H. Iwai, and Y. Koide, Sci. Reports,vol. 4, 6395 (2014).
10:15 AM - DD13.02
Device Simulation of C-H Diamond MOSFETs Based on 2DHG Formed by 2D Fixed Negative Charge
Masanobu Shibata 1 Yuya Kitabayashi 1 Atsushi Hiraiwa 1 2 Hiroshi Kawarada 1 2 3
1Waseda University Tokyo Japan2Institute of Nano-Science and Nano-Engineering Tokyo Japan3Kagami Memorial Research Institute For Materials Science and Technology Tokyo Japan
Show AbstractHigh voltage and high temperature p-channel diamond metal-oxide-semiconductor field-effect transistors (MOSFETs) have been realized using the interface between ALD Al2O3 and hydrogen-terminated (C-H) diamond surfaces. At the channels of MOSFETs, 2 dimensional hole gas (2DHG) is produced by hole accumulation, but the origin of 2DHG has not been clearly understood. In this study, MOSFET device simulation has been performed to analyze the characteristic of the 2DHG channel.
Tsugawa and Kawarada [1] were successful in simulating DC characteristics of diamond surface-channel MESFETs by assuming 2 dimensional acceptors at the diamond surface. It lead to a transfer doping model later by Maier [2]. However, without the surface acceptors, surface fixed charges can produce hole accumulation in MOS systems in general. In our work, instead of placing those surface accepters, fixed negative charges were placed on the Al2O3/diamond interface, because ALD Al2O3 tends to be negatively charged. Near the Al2O3/Si interface, for example, hole inversion layer has been reported in Si side [3]. In AlGaN/GaN-based high electron mobility transistors (HEMT), similar fixed charge model realize a formation of 2DEG from polarization-induced charges at the AlGaN/GaN interface and successfully simulated FET operation [4].
The device structure consists of a 0.2 mu;m thick Al2O3 layer and a 0.5 mu;m thick diamond directly below it. Valence band offset of 3 eV [5] was set between the Al2O3 and diamond interface to accumulate holes. The gate-source length was set to 3 mu;m. Gate length and gate-drain length were varied. Negative charges were placed at the Al2O3/diamond interface.
From the one dimensional cross section profiles, upward bending of the diamond valence band was observed at the Al2O3/diamond interface. Increase in hole concentration was also observed at the same interface. Ids-Vds characteristics showed modulation of drain current due to gate voltage. The maximum drain current density was about 400 mA/mm and the transconductance was calculated to be about 12 mS/mm. The simulated drain current and transconductance showed the same order of magnitude as those in the actual devices. These results suggests that the hole accumulation layer can be simulated by placing fixed negative charges at the Al2O3/diamond interface. Results obtained from calibration against experimental characteristics of diamond MOSFETs will be presented.
References
[1] K. Tsugawa, H. Kawarada et al., Diam. Relat. Mater., 8, 927-933 (1999)
[2] Maier, Ristein, Lay, PRL, (2000)
[3] F. Werner, J. Shumidt, Appl. Phys. Lett., 104, 091604 (2014).
[4] J. M. Tirado et al., Semicond. Sci. Technol., 20, 864 (2005)
[5] Liu, Imura, Koide, APL,101, 252108 (2012)
10:30 AM - DD13.03
Impedance Analysis of Hydrogen-Terminated Diamond MOS Structure
Meiyong Liao 1 2 Jianwei Liu 2 Liwen Sang 3 David Coathup 1 Jiangling Li 1 Masataka Imura 2 Yasuo Koide 2 Haitao Ye 1
1Aston University Birmingham United Kingdom2National Institute for Materials Science Tsukuba Japan3National Institute for Materials Science Tsukuba Japan
Show AbstractInsulator-gated diamond field effect transistors (FET) have been attracting significant interest for high-power high-frequency applications. To develop high-performance diamond FETs, the precise evaluation of the gate capacitance of the MOS structure is essential. This capacitance affects the extraction of the dielectric constant, carrier mobility and trap density, etc. Traditional capacitance-voltage (C-V) measurement on MOS structures has the drawback of the influence from imperfect contact and semiconductor series resistance, leading to misinterpretation. Especially when there is a leakage through the gate dielectrics the quasistatic capacitance measurement become difficult. In turn, high-frequency measurement should be applied to circumvent the leakage problem so that the capacitive current is dominant. However, in such a case, the effect of the series resistance and shunt parasitic resistance will become dominant due to the low impedance of the capacitor. Impedance spectroscopy (IS) could evade the effect of series resistance, thus offering more reliable and accurate capacitance values, particularly for the structure with variable series resistance.
In this work, the impedance spectroscopy of the MOS structure fabricated on p-type hydrogen-terminated diamond with the atomic layer deposition (ALD)-Al2O3 insulator layer was measured and analyzed. The small-signal equivalent circuit and physical parameters such as the capacitance of the ALD-Al2O3 and the series resistance were obtained. It was found that the dielectric constant of the ALD-Al2O3 layer was estimated to be 7.5-9.5 from the IS analysis, which is higher than the values evaluated by the conventional C-V measurement. By comparing the IS and C-V measurement, the frequency dispersion of the C-V characteristic was also discussed. The IS technique provides an effective way to obtain not only the equivalent circuits but also the capacitance of the MOS structure, providing unique leads in the development of new diamond MOS structures using various insulators such as high-k oxides like HfO2 and LaAlO3, etc.
10:45 AM - DD13.04
High Voltage Breakdown 1.8 kV Hydrogenated Black Diamond Field Effect Transistor
M.Syamsul.N B.S.B 1 Yuya Kitabayashi 1 Daisuke Matsumura 1 Toshiki Saito 1 Hiroshi Kawarada 1
1Waseda University Tokyo Japan
Show AbstractLess attention and further studies involved on black polycrystalline diamond since early year 2000 even though promising characteristics were demonstrated by William et al. and his team shows that the carrier concentration and mobility values similar to both white polycrystalline diamond and single crystal material. [1]. Highest breakdown voltage is recently discovered in 2014 by Hitoshi Umezawa et al. and his team is 1530V with gate-drain length, LGD of 30 µm [2]. In this paper, C-H black diamond were fabricated by the implementation of a wide gate-drain length up to 20 µm device structure with C-H bonded channel to achieve high breakdown voltages. Au/Ti source and drain contacts were deposited followed with annealing in H2 at 450#730;C forming TiC layer underneath [3]. Exposure of H2 plasma was then performed and followed with device isolation by exposing to Oxygen plasma after the areas other than the channel and parts of the source and drain were masked by photoresist. Finally, Al2O3 was deposited as a gate insulator and the passivation layer for a C-H bonded surface channel and a metal gate electrode Aluminum was formed on the Al2O3. With room temperature I-V measurements, this device exhibits a typical I-V characteristics with maximum current density of 1.1mmA/mm higher than clean boron doped polycrystalline diamond. The maximum breakdown voltage (VBmax) is 1802V at a gate-drain distance (LGD) of 18mu;m. Thus, C-H Black diamond currently is the highest value of VBmax reported for a diamond FET so far better than any single crystalline and clean polycrystalline diamond device.
References
[1] Hydrogenated Black Diamond: An Electrical Study OA Williams, RB Jackman and CE Nebel Physica Status Solidi, 193 (2002) 577
[2] Umezawa, Hitoshi Matsumoto, Takeshi Shikata, Shin-Ichi, IEEE Electron Device Letters; Nov 2014, Vol. 35 Issue 11, p1112-1114, 3p
[3] Y. Jingu, K. Hirama, and H. Kawarada, IEEE Trans. Electron Devices 57, 966 (2010).http://dx.doi.org/10.1109/TED.2010.2043311
DD14: Growth and Doping II
Session Chairs
Thursday AM, December 03, 2015
Hynes, Level 1, Room 109
11:30 AM - *DD14.01
Can Co-Doping be a Route to N-Type Diamond?
M. Zamir Othman 1 Sarah C. Halliwell 1 Alex E. Croot 1 Hugo D. Andrade 1 James A. Smith 1 Neil A. Fox 1 Neil L. Allan 1 Paul William May 1
1Univ of Bristol Bristol United Kingdom
Show AbstractDespite years of development using single dopants for CVD diamond, such as phosphorus, nitrogen and sulfur, the production of n-type semiconducting diamond with good electronic properties remains elusive. As such, more unusual dopants are beginning to be studied. Theoretical studies have predicted that interstitial lithium will act as a shallow donor and will enhanced the electrical properties of diamond. Unfortunately, experimental methods, such as implantation and diffusion, failed to incorporate Li into diamond as an electronically active dopant. This is due to the low solubility of Li, plus its high mobility in diamond which promotes the formation of unwanted Li clusters.
An alternative strategy is to use two separate dopants, either p+n or n+n, in an attempt to engineer suitable energy levels in the band gap. Early theoretical studies of potential co-dopant families using combinations of N, Al, B, P, Si & H, were not promising. The calculations were limited to only 1:1 & 1:2 ratios, and always resulted in deep donor and/or acceptor properties which were undesirable. With no co-dopant pairing appearing to offer any benefit over the existing single dopants, the production of diamond-based electronics has remained focused on phosphorus and/or nitrogen-doped diamond as source for n-type material.
In our previous work, it was suggested that Li diffusion through the diamond lattice can be prevented by simultaneously adding nitrogen as a co-dopant, with the N acting as a trap to pin down the Li and reduce its mobility, while (hopefully) retaining its n-donor properties. The incorporation of Li and N were performed during hot-filament chemical vapour deposition (HFCVD) growth of microcrystalline diamond films using a mixture of CH4/NH3/H2 gases with the presence of lithium nitride (Li3N) onto the diamond surface. SIMS depth profiles showed that this process produced high levels of Li and N (0.05% - 0.1% dopant level) situated in the same region within the diamond film. Although the films remained relatively resistive, the Li was found to enhance the thermionic current density of nitrogen-doped diamond by a factor of two. These preliminary findings were for a Li:N ratio of ~1:10. Detailed DFT calculations suggest that the electrical properties of these co-dopants are dependent greatly upon the ratio of the two species. In this talk we shall discuss our attempts to create n-type diamond with Li+N co-doping in 1:4 ratio, and discuss how the mixing ratio affects the facet morphology, electrical conductivity, and film properties.
We shall also venture deeper into the co-dopant regime by exploring the theoretical models and preparation methods of other potential co-dopant pairs, such as Li+B, Mg+N, Mg+B & B+N, with the aim of identifying a likely candidate pair for n-type doping with useful properties, but which can also be synthesised readily using standard CVD methods.
12:00 PM - DD14.02
Effect of High Plasma Density on Heteroepitaxial Diamond Nucleation on 3C-SiC/Si Substrates
Junya Yaita 1 2 Takayuki Iwasaki 1 2 3 Meralys Reyes Natal 4 Stephen E. Saddow 4 Mutsuko Hatano 1 2 3
1Tokyo Institute of Technology Meguro Japan2JST-CREST Chiyoda Japan3JST-ALCA Chiyoda Japan4University of South Florida Tampa United States
Show AbstractHeteroepitaxial growth of diamond on 3C-SiC/Si substrates technique is important to obtain large-size diamond films. The epitaxial relationship between diamond grains and 3C-SiC is necessary to achieve single crystal diamond on 3C-SiC. We considered it would depend on plasma density because plasma species which have effect on diamond nucleation are changed by plasma density. In this study, to improve diamond nucleation on the 3C-SiC surface, we performed the diamond nucleation by dramatically changing the plasma power density. The high plasma power density improved the epitaxial relationship of diamond nuclei. As a result, smooth diamond film on 3C-SiC/ Si was obtained after growth.
A buffer layer of 3C-SiC(001) (4 mu;m in thickness) was deposited on Si(001) substrates by low-pressure CVD was used as the substrate [1]. First, diamond grains were nucleated by BEN process using antenna-edge microwave plasma CVD [2]. The BEN process was performed by different microwave powers of 200 and 600 W, corresponding to 250 and 1100 W/cm3. Then, diamond nuclei were grown to obtain continuous films.
The epitaxial relationship strongly depended on plasma power density. In the case of low plasma density (microwave power of 200 W), the diamond nuclei were not totally aligned on 3C-SiC surface because ring-like diffraction pattern was observed from reflection high energy electron diffraction (RHEED). On the other hand, the diamond nuclei with a density of 1-5×1010 cm-2 were nearly perfect aligned on 3C-SiC(001) under the high power density condition (microwave power of 600 W).
To examine the effect of high plasma density, we performed optical emission spectroscopy (OES) and cross-sectional transmission electron microscopy (TEM) observations of both epitaxial and non-epitaxial diamond nuclei on 3C-SiC. Increase of atomic hydrogen was observed from OES using high plasma density. From the TEM observations, non-crystalline region was observed at the non-epitaxial diamond and 3C-SiC interface, whereas the epitaxial diamond and 3C-SiC lattices seem to be continuous across the interface. We considered these impurities would inhibit epitaxial relationships between diamond nuclei and 3C-SiC. In contrast, an enough amount of atomic hydrogen can prevent the formation of the non-diamond impurities, maintaining the clean 3C-SiC surface during the BEN process, which is essential for the epitaxial nucleation. A continuous diamond film 10 mu;m in thickness was obtained on the nuclei formed by high plasma density. Streak (2×1) diffractions were observed in RHEED patterns, which indicates the obtained heteroepitaxial diamond film on 3C-SiC possesses a very smooth surface.
[1] M. Reyes, C.L. Frewin, P.J. Ward, S.E. Saddow, Gallium Nitride and Silicon Carbide Power Technologies 3. 58 (2013) 119-126.
[2] J. Yaita, T. Iwasaki, M. Natal, S..E. Saddow, M. Hatano, Japanese Journal of Applied Physics, (2015), p. 04DH13.
12:15 PM - DD14.03
Wet Chemical Approach for Tailoring the Diamond to Non-Diamond Carbon Ratios in Nanocrystalline Diamond Powders
Nirmal Govindaraju 1 Raul Rodriguez 1 Alfredo Oviedo 1 Raj Singh 1
1Oklahoma State Univ Tulsa United States
Show AbstractNanocrystalline diamond powders have received significant attention recently for biomedical, sensor, and optical applications. A variety of techniques are utilized for synthesizing nanodiamond powders including milling of single crystal diamond, detonation and shock-wave synthesis resulting in a corresponding diversity in material quality and properties. A key component of the material quality is the ratio of non-diamond carbon to pure diamond in these nanoscale powders. Since non-diamond carbon typically exists on the surface of nanodiamond particles, it alters the surface chemical properties and structure, thereby influencing the biochemical and optical properties of these powders. Therefore, by controlling the ratio of non-diamond carbon to pure diamond, it will be possible to tailor the surface structure and chemistry to open up new applications for these materials. To this end, a wet chemical approach is presented which will alter the non-diamond carbon to pure diamond ratios to a desired value. 25 nm, 75 nm, and 100 nm nanodiamond powders are subject to this chemical treatment. Raman spectroscopy is utilized to assess the quality of the powders before and after chemical treatment. The non-diamond carbon and pure diamond ratios are quantified from the Raman spectra based on the scattering cross-sections of different species. Scanning electron microscopy is used to evaluate the nanodiamond powders before and after chemical treatment. It will be shown that it is possible to tailor the non-diamond carbon to pure diamond ratios to specific values, thereby opening up possibility of altering the surface structure and chemistry in a controlled manner.
12:30 PM - DD14.04
A Strategy for Delta Doped Diamond Layers with Boron
Anatoly Vikharev 1 Anatoly Muchnikov 1 Mikhail Lobaev 1 Aleksei Gorbachev 1 Dmitry Radishev 1 Vladimir Isaev 1 Sergey Bogdanov 1 Valery Chernov 1 Oleg Ivanov 1 Aleksei Afanasev 2 Vladimir Ilyin 2 Olga Kucherova 2 Viktor Luchinin 2 Anna Zubkova 2 Vasily Zubkov 2 James E. Butler 1 2
1Institute of Applied Physics RAS Nizhny Novgorod Russian Federation2St. Petersburg Electrotechnical University {LETI) St. Petersburg Russian Federation
Show AbstractA major barrier to the implementation of semiconducting diamond electronic devices is the lack of shallow dopants. The result of which is that with normal doping, for example, using B with a thermal activation barrier in the range of 0.3 to 0.37 eV, one can only generate either a high carrier concentration (heavily doped) or high mobilities (lightly doped), but not both. A method which can overcome this barrier is delta doping, creation of thin heavily doped layers ca. 1-2 nm thick, surrounded by intrinsic material, where a fraction of the ionized carriers of the semi-metallic layer exist in the nearby intrinsic layer, forming a two dimension carrier layer with, potentially, high mobilities. We have designed and implemented a strategy to create boron delta doped diamond single crystal layers. This strategy includes the design and fabrication of a microwave plasma assisted chemical vapor deposition reactor specifically designed to achieve atomically abrupt doping interfaces, substrate preparation for atomically smooth surfaces, and chemical suppression of unwanted boron during intrinsic diamond growth. We shall present results on the materials recently grown using this strategy.
12:45 PM - DD14
Student Awards and Concluding Remarks
Show Abstract