Symposium Organizers
Sandrine Ithurria, Ecole Superieure de Phusique et de Chimie Industrielles
Masaru Kuno, University of Notre Dame
Prashant Nagpal, University of Colorado Boulder
Matthew Pelton, University of Maryland, Baltimore County
Symposium Support
Aldrich Materials Science
Nanoscale
University of Notre Dame
II2: Solar Energy and Photocatalysis
Session Chairs
Richard Schaller
Clemens Burda
Monday PM, December 01, 2014
Hynes, Level 2, Room 210
2:30 AM - *II2.01
Combined Electrical and Optical Loss Analysis of Mesopore-Structured Solar Cells
Clemens Burda 1 Keng-Chu Lin 1
1Case Western Reserve University Cleveland USA
Show Abstract
In order to promote the development and optimization of dye-sensitized solar cells, including mesoporous TiO2 nanoparticles, it is crucial to establish a general model that accounts for the optical and electrical losses resulting from interfacial effects, coupling to the FTO conductive layer, and electron transport properties within a device. Based on optical characterization, we obtain the internal quantum efficiency (IQE) of a model DSSC, a parameter that cannot be directly measured but must be derived from several key experiments. By integrating the optical loss with the electrical loss characterization, most of the intrinsic parameters can be identified and quantified including reflectance, transmittance, absorptance of the sensitizer, the electron injection efficiency and the charge collection efficiency. In this work, the combined opto-electrical approach has been applied to a new SiPc (Pc 61) dye-sensitized solar cell for evaluating the parameters affecting the overall power conversion efficiency. The absorptance results of the Pc 61 dye sensitizer also provides evidence that the Pc 61 form non-injection layers on TiO2 surfaces if the dye immersion time exceeds an optimum dye loading time of 120 min, the loss mechanisms of dye-overloading has been studied and will be presented.
3:15 AM - II2.02
Controlling Hot-Carrier Interactions in Nanomaterials Designed for High-Efficiency Solar Energy Conversion
Istvan Robel 1 Claudiu M. Cirloganu 1 Lazaro A. Padilha 1 Qianglu Lin 1 2 Nikolay S. Makarov 1 Jeffrey M. Pietryga 1 Victor I. Klimov 1
1Los Alamos National Laboratory Los Alamos USA2New Mexico State University Las Cruces USA
Show AbstractIn a conventional solar cell the excess energy of an absorbed high-energy photon is wasted as heat through the thermalization of the photogenerated hot carrier, thereby limiting the energy conversion efficiency of the photovoltaic device. Novel physics arising under strong quantum confinement in semiconductor nanocrystals enables the high-efficiency conversion of this excess energy toward generating additional carriers through a process called carrier multiplication (CM). While CM is significantly enhanced in quantum dots compared to bulk semiconductors, further improvements are necessary in order to have a significant impact on real-world device performance.
Guided by our recently developed phenomenological model for CM,1 we have designed nanoscale heterostructures in which intentionally slowed intraband cooling of hot carriers gives a greater “window of opportunity” for competing CM events to occur. Our refined synthetic methods have enabled near-atomic scale precision in the control of the core (PbSe) and shell (CdSe) dimensions of these heterostructures over a very large range of shell thicknesses, allowing us to fine-tune electronic wavefunctions and consequently maximize CM efficiencies. By targeting a precise range of core radius/shell thickness ratios, we were able to achieve a four-fold increase in CM yield over conventional monocomponent PbSe QDs, accompanied by a considerable reduction of the CM threshold almost down to the fundamental two-energy-gap limit2. The record CM quantum yield is a combined result of multiple factors: (i) effective capture of “hot” energetic holes in a long-lived, shell-localized valence band CM channel; and (ii) significant spatial and energy mismatch between these states and relatively sparse core levels that dramatically slow phonon-assisted cooling, increasing the likelihood of hole relaxation via impact-ionization-like scattering.
These nanostructures are the first demonstration of the generalizable concept of "CM-engineering" in which consideration of the mechanism of CM and other competing cooling processes is used to rationally design nanomaterials featuring CM yields approaching the ideal thermodynamic limit. Potentially, efficiencies very close to the thermodynamic limit can be achieved by combining this approach with shape control3,4 (e.g. elongation, as in nanorods) and the use of different material compositions1,4 (e.g. PbTe or Si).
References
1. J. T. Stewart et al, J. Phys. Chem. Lett.4, 2061-2068 (2013).
2. C. M. Cirloganu et al, Nat. Commun. 5, 4178 (2014)
3. L.A. Padilha et al, Nano Lett.13, 1092-1099 (2013)
4. L.A. Padilha et al, Acc. Chem. Res. 46, 1261-1269 (2013)
3:30 AM - *II2.04
Solar Cells Based on Colloidal Quantum Dots
Edward Hartley Sargent 1
1University of Toronto Toronto Canada
Show AbstractWe review progress in the performance, materials processing, and physics of solar cells based on colloidal quantum dots. Highlights include progress on minority carrier diffusion length in quantum dot solids; all-inorganic quantum dot solids; and air-stable n-type photovoltaic-quality CQD solids.
4:30 AM - *II2.05
Solar Photochemistry of Semiconductor Nanocrystals
Gordana Dukovic 1
1University of Colorado Boulder Boulder USA
Show AbstractThis presentation will focus on the ways in which structure, surface chemistry, and excited state properties of semiconductor nanocrystals govern their photochemical reactions. Emphasis will be placed on photochemical redox reactions involved in solar fuel generation. To carry out these reactions, it is necessary to couple nanocrystals with redox catalysts. We use ultrafast transient absorption spectroscopy to investigate the dynamics of excited state decay and charge transfer in nanocrystals and nanocrystal-catalyst complexes and elucidate how these dynamics govern the formation of the photochemical product. Our current understanding of how nanocrystal structural parameters impact excited state and charge transfer dynamics will be discussed.
5:15 AM - II2.07
Photocatalysis with Hybrid Nanomaterials
Zheng Li 1 Yongxing Hu 1 Yugang Sun 1
1Argonne National Lab Argonne USA
Show AbstractHMS (Hybrid metal-semiconductor) nanorod clusters with one Au nanocrystal connected with multiple CdSe nanorods were synthesized through the welding of the Au-tipped CdSe nanorods (i.e. Au nanocrystal with only one CdSe nanorod) at the Au sites. The as-synthesized HMS clusters show a huge performance boost towards the photocatalytic MER (multiple-electron reduction), with the MB (methylene blue) molecules as the redox indicator at room temperature and in the water solution without the application of inert atmosphere. The results presented in this poster could shed light on the rational design of efficient photocatalysts for MER reactions.
5:30 AM - II2.08
Quantum-Confinement Controlled Hydrogen Generation Efficiency in Colloidal Noble-Metal Decorated Semiconductor Nanocrystals
Wei Li 1 Graeme Smith 1 Frank Jaeckel 1
1University of Liverpool Liverpool United Kingdom
Show AbstractSemiconductor nanocrystals (SNCs) have found wide-spread applications due to the possibility of tuning their optical and electronic properties via size-confinement of electron and hole. For this reason, SNCs decorated with noble metal catalysts, recently, attracted renewed interest in the photocatalytic generation of hydrogen as a solar fuel.1-5 Here we show that varying the size of colloidal SNCs allows controlling their hydrogen quantum efficiencies in presence of sacrificial hole scavengers. For Pt-decorated CdS SNCs we demonstrate hydrogen generation quantum efficiencies in excess of 30% and demonstrate size-induced variations of hydrogen generation quantum efficiencies of more than 40%.6 These effects are discussed in terms of size-controlled alignment of nanocrystal and catalyst energy levels, and redox-potentials.
1. M. Berr, A. Vaneski, A. S. Susha, J. Rodri#769;guez-Ferna#769;ndez, M. Do#776;blinger, F. Ja#776;ckel, A. L. Rogach, and J. Feldmann, Appl. Phys. Lett., 2010, 97, 093108.
2. M. J. Berr, P. Wagner, S. Fischbach, A. Vaneski, J. Schneider, A. S. Susha, A. L. Rogach, F. Jäckel, and J. Feldmann, Appl. Phys. Lett., 2012, 100, 223903-223903-3.
3. M. J. Berr, A. Vaneski, C. Mauser, S. Fischbach, A. S. Susha, A. L. Rogach, F. Jäckel, and J. Feldmann, Small, 2012, 8, 291-297.
4. M. J. Berr, F. F. Schweinberger, M. Döblinger, K. E. Sanwald, C. Wolff, J. Breimeier, A. S. Crampton, C. J. Ridge, M. Tschurl, U. Heiz, F. Jäckel, and J. Feldmann, Nano Lett., 2012, 12, 5903-5906.
5. F. F. Schweinberger, M. J. Berr, M. Döblinger, C. Wolff, K. E. Sanwald, A. S. Crampton, C. J. Ridge, F. Jäckel, J. Feldmann, M. Tschurl, and U. Heiz, J. Am. Chem. Soc., 2013, 135, 13262-13265.
6. W. Li, G. Smith, F. Jäckel, to be submitted
5:45 AM - II2.09
Core/Shell Quantum Dot Based Luminescent Solar Concentrators with Reduced Reabsorption and Enhanced Efficiency
Igor Coropceanu 1 Moungi Bawendi 1
1MIT Cambridge USA
Show AbstractLuminescent solar concentrators (LSCs) have emerged in recent years as a promising avenue towards economically viable solar energy harvesting. Nevertheless, for the practical implementation of such devices, continued improvements in material development are needed, particularly with respect to two core requirements: 1) minimal reabsorption and 2) high fluorescence efficiency. Various classes of semiconducting quantum dots (QDs) have been demonstrated to be capable of fulfilling these two conditions separately, making them encouraging candidates to serve as the active material in LSCs. Nevertheless, the simultaneous fulfillment of the two requirements has continued to remain a challenge.
In the present work, we present an attempt to resolve this problem in one particular system: core/shell CdSe/CdS quantum dots. The use of a core-shell architecture allows for spectral separation of the absorption and emission, and thus provides a direct route for addressing the problem of reabsorption. By extending a previously developed synthetic recipe, a series of thick-shelled CdSe/CdS QDs were prepared with near unity quantum yields. By simply increasing the thickness of the CdS shell, it was found that the amount of spectral overlap between the absorption and emission spectra could be continuously reduced by a factor proportional the volumetric ratio of CdS to CdSe. For the thickest shell thickness studied (consisting of ca. 15 monolayers of CdS), it was found that the reabsorption could be decreased by up to a factor of 45 compared to the initial CdSe cores.
The suitability of the materials synthesized for LSC applications was evaluated by creating prototype devices consisting of the quantum dots embedded in a polymer matrix. The resulting composites exhibited good optical properties, including a conservation of the high quantum yield measured in solution and no observable scattering. The LSC performance was then evaluated using an integrating sphere by measuring the optical efficiency, defined as the ratio of photons emitted from the sides to the total number of incident photons. The prototype devices prepared (with dimensions of 2cm x 2 cm x 0.2 cm, with a geometric gain of ca. 3), the optical efficiency is as high as 48%, comparable to the best values reported in the literature. In addition, a kinetic Monte Carlo simulation was developed to model LSC performance, to gain insight into the relative importance of the major loss channels, and to optimize the set of physical parameters of QD based LSCs for maximal efficiency. An excellent agreement was observed between the experimental results and the simulation.
II1: Dynamics and Dimensionality
Session Chairs
Matthew Pelton
Sandrine Ithurria
Monday AM, December 01, 2014
Hynes, Level 2, Room 210
9:45 AM - *II1.01
Effect of Dangling Bonds on Low Temperature Photoluminescence in CdSe Nanocrystals
Alexander Efros 2 Anna Rodina 1
1Ioffe Physical Technical Institute St. Petersburg Russian Federation2Naval Research Laboratory Washington USA
Show AbstractSurprisingly, after more than 20 years of research the mechanism of radiative recombination of the ground exciton state in colloidal CdSe nanocrystals (NCs), which is known to be an optically passive (dark) exciton state, is still under discussion. The experimentally observed radiative recombination from the dark exciton state should be caused by the admixture with optically active (bright) exciton states via phonons or some external or internal magnetic field. The energy splitting between the dark exciton and the lowest bright exciton state depends strongly on the size and shape of the NC and might be of the order of 20 meV in CdSe NCs with 2.3 nm diameter.
To understand the bright-dark exciton mixing mechanism, many groups have studied the fine structure and polarization properties of the NCs band edge photoluminescence (PL) and PL decay times as a function of temperature with and without magnetic fields. Although the activation of the dark exciton recombination by an external magnetic field has been well documented, temperature and magnetic field dependences of the PL structure and polarization remain controversial and even puzzling. For example, (i) the degree of circular polarization of the low temperature PL indicates almost complete de-population of the upper (and complete population of the lower) state of the ground dark exciton split by a magnetic field. However, the populated exciton level emits photons with significantly larger energy than the de-populated level. (ii) Both zero phonon line and optical phonon assisted recombination from dark exciton are shifted toward higher energies by 3-4 meV with increasing temperature from 1.7 K to 17 K. The relative intensity and the recombination rate of the zero phonon line increase in the same temperature range, indicating a activation energy significantly smaller than the bright-dark exciton splitting.
In this work we study theoretically the effect of the spins of the surface dangling bonds on the PL of CdSe NCs. We show that spins of dangling bonds open two new recombination channels for the dark exciton recombination. One is connected with an effective magnetic field of dangling-bond spins which mixes dark and bright exciton states, and the second is connected with flip-flip and flip-flop spin-assisted recombination of the dark exciton. Calculations show that at low temperatures the interaction between dangling bonds and NC excitons leads to the dynamical polarization of the dangling bond spins along the anisotropic axis following by the formation of a dangling bond magnetic polaron. An increase of the temperature, or of the external magnetic field perpendicular to the anisotropic axis, destroys the polaron state. This results in a shift of the transition energy and an increase of its recombination rate. Thus thermal depolarization of the polaron state may explain the small activation energies observed in the temperature dependences of the exciton lifetimes in CdSe NCs.
10:15 AM - II1.02
Calculation of Auger Recombination Rate in CdSe Nanocrystals: Demonstration of the Universal Size-Dependence
Roman Vaxenburg 2 Anna Rodina 3 Andrew Shabaev 4 1 Efrat Lifshitz 2 Alexander L. Efros 1
1Naval Research Laboratory Washington USA2Technion - Israel Institute of Technology Haifa Israel3Ioffe Physical-Technical Institute St. Petersburg Russian Federation4George Mason University Fairfax USA
Show AbstractAuger recombination is the central non-radiative relaxation process affecting all aspects of carrier dynamics in semiconductor nanocrystals. Auger processes, being significantly enhanced in quantum-confined structures, can dominate the decay of multiexcitons, facilitate fluorescence intermittency, induce the efficiency droop in nanocrystal light-emitting diodes, and limit the performance of nanocrystal lasing applications. The mechanism of the Auger rate acceleration is connected with the combined effect of spatial confinement of carriers and the presence of abrupt interfaces in the nanocrystals. These two effects admix high-momentum components into the ground state wavefunctions of the carriers, thereby relaxing the strict momentum conservation rule during the Auger recombination. Based on the experimental data, the existence of a universal material-independent scaling law of the multiexcitonic Auger recombination rate with the nanocrystal size has been proposed.[1] Similar size-dependence of the trion Auger recombination has been measured in CdSe quantum dots.[2] Theoretically, however, this universal scaling has not been explained yet. Previous calculations of the Auger rates in CdS quantum dots[3] predicted a stronger dependence on the nanocrystal size. This previous model incorporated a simplified version of the boundary conditions which enforced continuity of envelope functions across the nanocrystal surface. This, in turn, precluded generation of a sufficient amount of the high-momentum components in the wavefunctions. Here we calculate the rates of the Auger recombination of negatively charged trions in CdSe nanocrystals in the framework of the 8-band k.p model. We apply the most general form of the boundary conditions, which permit discontinuity of the envelope functions across the nanocrystal interface, thereby enhancing the generation of the high-momentum components in the carriers' wavefunctions. This allows us to explain the experimental size-dependence of the Auger recombination rate. In addition, our calculations demonstrate orders-of-magnitude oscillations of the Auger rate with size caused by a cyclic switching between constructive and destructive interference of the wavefunctions of carriers participating in the Auger process.
[1] I. Robel, R. Gresback, U. Kortshagen, R. D. Schaller, and V. I. Klimov, Phys. Rev. Lett.102, 177404 (2009).
[2] A. Cohn, J. Rinehart, A. Schimpf, A. Weaver, and D. Gamelin, Nano Lett. 14, 353 (2014).
[3] D. I. Chepic, Al. L. Efros, A. I. Ekimov, M. G. Ivanov, V. A. Kharchenko, I. A. Kudriavtsev, and T. V. Yazeva, J. Lumin.47, 113 (1990).
10:30 AM - *II1.03
Elevated Temperature Dynamics, Phonon Dissipation, and In-Situ Studies of Semiconductor Nanocrystals and Hybrids
Daniel C Hannah 2 Clare E Rowland 2 1 Kristen E Brown 2 Ryan M Young 2 Arnaud Demortiere 1 Michael R Wasielewski 2 1 Elena Shevchenko 1 Richard D Schaller 1 2
1Argonne National Lab Argonne USA2Northwestern University Evanston USA
Show AbstractWe examine elevated temperature performance of quantum-confined semiconductor nanocrystals owing to broad interest in use of these materials in devices that experience thermal loads such as LEDs, concentrated solar cells, and optical amplifiers. Our measurements reveal reversible as well as irreversible exciton quenching pathways and note particular relevance of the surface termination on exciton integrity with temperature. We investigate lattice thermalization following above gap photoexcitation utilizing femtosecond stimulated Raman spectroscopy in order to characterize longitudinal optical (LO) phonon production and dissipation throughout the process of confinement-enhanced, ultrafast intraband carrier relaxation. Upon photoexcitation, we observe a decrease in stimulated Raman amplitude, a size-independent LO phonon formation time, and multiple timescales of LO phonon decay, which we explain. Mode softening is observed as is evidence of phonon down-conversion processes. Finally, we examine adgrowth of gold onto CdSe/CdS dot-in-rod nanoparticles of interest for photocatalysis using in-situ static and dynamical optical methods, x-ray and electron microscopy methods from which we determine particle growth mechanisms in addition to an unexpected hole transfer process.
11:30 AM - II1.04
Probing the Role of Dimensionality in Colloidal Lead Chalcogenide Nanostructures
Jeffrey M. Pietryga 1 Qianglu Lin 1 2 Weon-kyu Koh 1 Andrew F. Fidler 1 Nikolay S. Makarov 1 Victor I. Klimov 1
1Los Alamos National Laboratory Los Alamos USA2New Mexico State University Las Cruces USA
Show AbstractAs the use of solution-processible nanocrystal quantum dots (NQDs) in optoelectronic devices continues to expand, for infrared applications, lead chalcogenide nanostructures have assumed particular importance. A major reason for their dominance is their unmatched band-gap tunability. The combination of versatile yet precise synthetic routes with the large Bohr exciton radii of the corresponding bulk materials allows three-dimensionally (3D) quantum-confined PbE (E=S, Se or Te) NQDs, collectively, to be finely size-tuned from mid-infrared to nearly visible energies (~0.3 eV - 1.9 eV). This, in turn, has driven their detailed characterization and widespread use in infrared light-emitting diodes and solar photovoltaics.
Much less is known about the properties of PbE nanostructures of lower-order confinement (e.g., 2D-confined nanorods or nanowires, or 1D-confined nanoplates), even though numerous optoelectronic applications could conceivably benefit from, e.g., the polarized infrared emission or enhanced thin-film charge transport that such materials may offer. A persistent stumbling block in such studies has been the relative difficulty of synthesizing such nanostructures: compared to the well-established syntheses of cadmium chalcogenide nanorods and even nanoplatelets, methods for producing shape-controlled PbE lag far behind in tunability, precision and compositional flexibility.
Recent advances in the use of processes such as “oriented attachment” are starting to close this gap. Here, we describe our new synthetic approaches to size-controlled PbSe and PbTe nanorods and nanoplatelets exhibiting strong quantum confinement along the smallest dimension (i.e., the nanorod diameter or nanoplatelet thickness). We then compare the static and dynamical optical properties of these materials to those of the much better known NQDs, and examine preliminary assessments of the charge transport properties of corresponding solution-cast thin films. We will conclude by discussing what these studies reveal about how changes in dimensionality impact the device-relevant properties of colloidal PbE nanostructures in general.
11:45 AM - II1.05
Optoelectronic Properties of Individual PbS Nanosheets
Christian Klinke 1
1University of Hamburg Hamburg Germany
Show AbstractTwo-dimensional, solution-processable semiconductor materials are appealing for low-cost electronic applications, such as transistors and solar cells. In the talk I will discuss the synthesis of lead sulfide nanosheets with a lateral size of several microns and how their size, shape and height can be tuned by the variation of the synthesis parameters. As a consequence of the adjustability of the nanosheets&’ thickness between 2 to more than 20 nm charge carriers are in confinement, which has a decisive impact on their electronic properties. This is demonstrated by their use as conduction channel in a field effect transistor. The experiments show that the performance in terms of current, mobility, On/Off ratio, and sub-threshold swing is tunable over a large range. Furthermore, I will show the function of individual nanosheets as solar cells.
12:00 PM - II1.06
Band-Gap Engineering of Core/Shell and Core/Crown Nanoplatelets: Synthesis and Optical Properties
Silvia Pedetti 1 2 Sandrine Ithurria 1 Hadrien Heuclin 2 Gilles Patriarche 3 Emmanuel Lhuillier 2 Piernicola Spinicelli 1 Benoit Dubertret 1
1LPEM Paris France2Nexdot Paris France3LPN, CNRS Marcoussis France
Show AbstractRecently 2-dimensional II-VI semiconductors nanocrystals have been synthesized and widely characterized.[1] We have developed the synthesis of nanoplatelets (NPLs) in zinc-blende structure of different composition: CdS, CdSe and CdTe NPLs.[2] Extension and modification of NPLs through the construction of heterostructures is possible. On one hand 2-D core/shell CdSe/CdS NPLs have been synthesized,[3] on the other NPLs have been engineered by a lateral extension leading to core/crown CdSe/CdS heterostructures.[4] In this work we will present the synthesis of several core/shell NPLs. The shell growth was performed by colloidal atomic layer deposition that allows precise control of the thickness.[5] Depending on the band offset characteristic of II-VI semiconductors we observe modification of electronic properties of NPLs. Type-I (CdS/ZnS, CdSe/ZnSe), reverse type-I (CdS/CdSe), quasi type-II (CdSe/CdZnS) and type-II (CdTe/CdSe) have been obtained. Differently CdSe/CdTe core/crown NPLs have been obtained through colloidal synthesis at high temperatures. All the objects obtained have been characterized by absorption and emission spectroscopy, with transmission electron microscopy (TEM, HRTEM, HAADF), energy dispersive X-ray spectroscopy (EDX), and XRD.
[1] J. S. Son, J. H. Yu, S. G. Kwon, J. Lee, J. Joo, T. Hyeon, Adv. Mater. 2011, 23, 3214-3219.
[2] S. Ithurria, M. D. Tessier, B. Mahler, R. P. S. M. Lobo, B. Dubertret and Al. L. Efros, Nat. Mater. 2011, 10, 936-641.
[3] B. Mahler, B. Nadal, C. Bouet, G. Patriarche, B. Dubertret, J. Am. Chem. Soc., 2012, 134, 18591-18598.
[4] M. Tessier, P. Spinicelli, D. Dupont, G. Patriarche, S. Ithurria, B. Dubertret, Nano Lett. 2014, 14, 207minus;213.
[5] S. Ithurria, D. V. Talapin, J. Am. Chem. Soc., 2012, 134, 18585-18590.
12:15 PM - II1.07
Multiexciton Generation and Decay in Two-Dimensional Nanosheets
Laurens Siebbeles 1
1Delft University of Technology Delft Netherlands
Show AbstractWe have determined the Auger recombination kinetics of electrons and holes in colloidal CdSe-only and CdSe/CdS/ZnS core/shell nanoplatelets by time-resolved photoluminescence measurements.(1) At high excitation density Auger recombination can be described by second-order kinetics. From this we infer that the majority of electrons and holes are bound in the form of neutral excitons, while the fraction of free charges is much smaller, in agreement with our terahertz conductivity measurements. The biexciton Auger recombination is not diffusion-controlled and is more than one order of magnitude smaller than for quantum dots and nanorods of equal volume. The latter is of advantage for application in lasers, light-emitting diodes and photovoltaics.
The generation of two or more electron-hole pairs for the absorption of a single energetic photon is of interest for development of highly efficient (up to 44%) solar cells. The efficiency of this carrier multiplication (CM) process depends on several factors, including the competition with cooling, the Coulomb interaction between the hot charge carrier and the final trion density of states. All these factors depend on nanocrystal dimensionality. Previously carrier multiplication has been investigated in lead chalcogenide quantum dots (0D), nanorods (1D) and bulk (3D).
We investigated the efficiency of carrier multiplication in two-dimensional PbS nanosheets of 4 to 7 nm thickness using ultrafast optical pump-probe spectroscopy.(2) The efficiency of carrier multiplication in nanosheets is much higher than for quantum dots, nanorods and bulk material. in thin PbS nanosheets virtually the entire excess photon energy above the CM threshold is used for CM, in contrast to quantum dots, nanorods and bulk lead chalcogenide materials.
References
(1) Kunneman, L. T.; Tessier, M. D.; Heuclin, H.; Dubertret, B.; Aulin, Y. V.; Grozema, F. C.; Schins, J. M.; Siebbeles, L. D. A. J. Phys. Chem. Lett. 2013, 4, 3574.
(2) Aerts, M.; Bielewicz, T.; Klinke, C.; Grozema, F. C.; Houtepen, A. J.; Schins, J. M.; Siebbeles, L. D. A. Nature Commun. 2014, 5, 3789.
12:30 PM - II1.08
Amplified Spontaneous Emission and Lasing in Colloidal Quantum Wells
Burak Guzelturk 1 2 Yusuf Kelestemur 1 Murat Olutas 1 Savas Delikanli 1 Hilmi Volkan Demir 1 2
1Bilkent University Ankara Turkey2Nanyang Technological University Singapore Singapore
Show AbstractColloidal quantum wells (CQWs), which are also known as colloidal nanoplatelets, are appealing light-emitting materials exhibiting unique optical properties. These include narrow photoluminescence full-width at half-maxima, quantum-well like density of states and absence of inhomogeneous broadening which make these CQWs as promising optical gain materials. However, there was no demonstration of lasing in the CQWs to date.
In this work, we demonstrate ultrahigh efficiency amplified spontaneous emission (ASE) and lasing in CdSe core and CdSe/CdS core/crown CQWs.[1] Core/crown CQWs, which have been recently introduced, show enhanced optical properties owing to the laterally grown CdS layer that also acts as a light-absorbing antenna boosting the absorption cross-section.[2, 3] Here, we synthesize various core/crown CQWs having varying lateral crown size, then we study single- and two-photon absorption pumped ASE in these CQWs. Core/crown CQWs exhibit enhanced optical gain performance as compared to the only core CQWs thanks to the increased absorption cross-section and enhanced passivation by the crown layer. We achieve single-photon absorption (lambda;exc = 400 nm) pumped ASE with a threshold as low as 41 mu;J/cm2 in the core/crown CQWs, which represents the best reported ASE threshold among the colloidal nanocrystals emitting in the green region of the visible spectrum.[1] Then, we also investigate two-photon absorption (lambda;exc = 800 nm) pumped ASE in these CQWs exhibiting a record low threshold of 4.5 mJ/cm2 better than that of the best reported threshold in quantum dots and rods.[1] Moreover, we measure the gain coefficient via variable stripe length technique, using which the gain coefficient of the core/crown CQWs is found to be as high as 650 cm-1. This represents more than 2- and 4-fold enhancement over the best reported gain coefficient in colloidal quantum rods and dots.[4, 5]
Finally, we develop an all solution processed vertical cavity surface emitting laser (VCSEL) of the CQWs that are sandwiched between two distributed Bragg reflectors, which were fabricated by colloidal nanoparticles of SiO2 and TiO2. The VCSELs exhibit surpassing two-photon absorption pumped lasing performance with a lasing threshold of 2.5 mJ/cm2 with a Q-factor of 300.[1] Overall, these remarkable results of ultralow threshold ASE and extremely high optical gain coefficient make these CQWs highly promising materials as colloidal optical gain media for lasers.
References:
[1] B. Guzelturk et al., ACS Nano (2014) DOI: 10.1021/nn5022296.
[2] M. D. Tessier et al., Nano Lett. 14, 207-213 (2014).
[3] A. Prudnikau et al., JACS 135, 14476-14479 (2013).
[4] A. V. Malko et al., Appl. Phys. Lett. 81, 1303 (2002).
[5] M. Kazes et al., J. Phys. Chem. C 111, 7898-7905 (2007).
12:45 PM - II1.09
Semiconductor Nanoplatelets: A New Colloidal System for Low-Threshold, High-Gain Stimulated Emission
Matthew A. Pelton 1
1 Baltimore USA
Show AbstractQuantum wells (QWs) are thin semiconductor layers than confine electrons and holes in one dimension. QWs have several advantages as gain media in semiconductor lasers, including tunable emission wavelengths and low threshold currents. So far, however, QWs have been produced using expensive epitaxial crystal-growth techniques. This has motivated research into the use of colloidal semiconductor nanocrystals, which can be synthesized chemically in large volumes and at low cost. In these quantum-dot (QD) systems, however, carriers are confined in all three dimensions, and only a small number of exciton states exist at the optical bandgap energy. Since QDs cannot be packed together closer than their diameters, the maximum optical gain in a layer of QDs is limited. Moreover, initial demonstrations of optical gain from colloidal QDs involved high thresholds, which were attributed to rapid Auger processes. Attempts to reduce thresholds based on designing QDs to reduce the effects of Auger recombination have culminated in thresholds as low as ~26 µJ/cm2. In this case, however, the lower threshold comes at the expense of the maximum obtainable gain, because the QDs have think shells that reduce their packing density.
Recently, colloidal synthesis methods have been developed for the production of thin, atomically flat semiconductor nanocrystals, known as nanoplatelets (NPLs). The faces of these platelets are capped with organic ligands, and the platelets are typically surrounded by solvents or by air. This means that carrier confinement and exciton binding energies are much stronger in colloidal NPLs than in epitaxial QWs. The stronger confinement and binding energies, in turn, are likely to result in significantly different carrier dynamics.
We investigated relaxation of high-energy carriers in colloidal CdSe NPLs, and found that the relaxation is characteristic of a QW system. Carrier cooling and relaxation on time scales from picoseconds to hundreds of picoseconds are dominated by Auger-type exciton-exciton interactions. The picosecond-scale cooling of hot carriers is much faster than the exciton recombination rate, as required for use of these NPLs as optical gain and lasing materials.
We therefore investigated amplified spontaneous emission (ASE) using close-packed films of NPLs. We observed thresholds as low as 6 µJ/cm2, more than 4 times lower than the best reported value for colloidal nanocrystals. Moreover, gain in these films is as high as 600 cm-1, and saturates at pump fluences more than two orders of magnitude above the ASE threshold. We attribute this exceptional performance to large optical cross-sections, relatively slow Auger recombination rates, and narrow ensemble emission linewidths.
Symposium Organizers
Sandrine Ithurria, Ecole Superieure de Phusique et de Chimie Industrielles
Masaru Kuno, University of Notre Dame
Prashant Nagpal, University of Colorado Boulder
Matthew Pelton, University of Maryland, Baltimore County
Symposium Support
Aldrich Materials Science
Nanoscale
University of Notre Dame
II4: Interfaces and Heterostructures
Session Chairs
Christopher Murray
Hedi Mattoussi
Tuesday PM, December 02, 2014
Hynes, Level 2, Room 210
2:30 AM - II4.01
Characterizing the Redox Coupling between Luminescent Quantum Dots and the Neurotransmitter Dopamine in Hybrid Assemblies
Xin Ji 1 Wentao Wang 1 Nikolay S Makarov 2 Goutam Palui 1 Istvan Robel 2 Hedi Mattoussi 1
1Florida State University Tallahassee USA2Los Alamos National Laboratory Los Alamos USA
Show AbstractWe investigated the charge transfer interactions between luminescent CdSe-ZnS quantum dots (QDs) and the redox active neurotransmitter dopamine in a set of covalently assembled QD-dopamine conjugates. We used steady-state and time-resolved fluorescence along with transient absorption bleach measurements, to probe the effects of changing the energy levels of the conduction and valence bands (via nanocrystal size) and the conjugate valence on the rate of QD photoluminescence (PL) quenching when the pH of the medium was adjusted from acidic to alkaline. In particular, we measured substantially larger PL quenching efficiencies, combined with more pronounced shortening in the exciton lifetime decay for these assemblies, when smaller size QDs and/or alkaline pH were used. Moreover, we found that pH changes alter both the electron and hole relaxation for red- and green-emitting but with very different extents. For instance, a much more pronounced change in the hole relaxation was recorded in alkaline buffers for green-emitting QDs compared to their red-emitting counterparts.
We attribute these findings to charge transfer interactions between QDs and two forms of dopamine: the reduced catechol and oxidized quinone. As the pH of the dispersions is changed from acidic to basic, oxygen-catalyzed transformation progressively reduces the dopamine potential for oxidation and shifts the equilibrium towards increased concentration of quinone. Thus, in these assemblies a QD can simultaneously interact with a quinone (an electron acceptor) and a catechol (an electron donor), which produces pH-dependent quenching, shortening of the exciton lifetime, and also alters the recombination kinetics of the electron and hole of photoexcited QDs. In addition, larger energy mismatch between the QD and the complexes for smaller size nanocrystals produces higher PL quenching for green QDs in basic buffers. These findings shed light on the complex interactions involved in these assemblies and reflect the importance of the energy mismatch between the QD energy levels and the redox levels of the dopamine complex.
2:45 AM - II4.02
Nanoparticles and Polyoxometalates: Hybrid Nanomaterials for Bioapplications
Scott George Mitchell 1 Laura De Matteis 1 Gabriel Alfranca Ramon 1 Isabel Maicas Gabas 1 Jesus M. de la Fuente 1 2
1Universidad de Zaragoza Zaragoza Spain2Instituto de Ciencia de Materiales de Aragamp;#243;n (ICMA-CSIS) Zaragoza Spain
Show AbstractThe cooperative chemistry of polyoxometalates (POMs) and metal nanoparticles (NPs) still remains a relatively unexplored area of nanoscience, despite showing remarkable potential and application in fields as diverse as catalysis and medicine.[1][2] The size, shape and elemental composition of both materials types play crucial roles in their application since these physical characteristics drastically affect their physicochemical and optical properties.[3] Here we show how POMs can be used to control the nucleation of metal NPs[4] and stabilize the formation of supramolecular complexes.[5] We discuss preliminary studies on the bioavailability of such nanohybrids as antibacterial agents and discuss how biofunctionalization of these materials can improve thier potential in biotechnology.[6]
[1] S. G. Mitchell and J. M. de la Fuente, J. Mater. Chem. 2012, 22, 18091.
[2] Y. Wang and I. A. Weinstock, Chem. Soc. Rev. 2012, 41, 7479.
[3] M. Moros, S. G. Mitchell, V. Grazuacute; and J. M. de la Fuente, Curr. Med. Chem. 2013, 20, 2759.
[4] S. G. Mitchell and J. M. Fuente, Eur.J. Inorg. Chem.2013, 32, 5517.
[5] S. G. Mitchell, L. de Matteis and J. M. de la Fuente (submitted).
[6] R. M. Fratila, S. G. Mitchell, P. del Pino, V. Grazuacute; and J. M. de la Fuente, Langmuir, 2014, DOI: 10.1021/la5015658
3:00 AM - II4.03
Energy Harvesting of Non-Emissive Triplet Excitons in Tetracene by SWIR-Emitting PbS Nanocrystals
Mark William Brennan Wilson 2 1 Nicholas J. Thompson 2 Daniel N. Congreve 2 Patrick R. Brown 2 Jennifer M. Scherer 1 Thomas S. Bischof 2 Mengfei Wu 2 Moungi G. Bawendi 1 2 Marc A. Baldo 2
1Massachusetts Institute of Technology Cambridge USA2Massachusetts Institute of Technology Cambridge USA
Show AbstractSpin-triplet excitons are ubiquitous in organic optoelectronics, but they are often an undesirable energy sink -- spin-forbidden from emitting light, and strongly bound relative to free electron-hole pairs. Harvesting their energy is consequently an important technological challenge. Here, we demonstrate direct excitonic energy transfer from ‘dark&’ triplets in the organic semiconductor tetracene to colloidal PbS nanocrystals, thereby successfully harnessing molecular triplet excitons in the short-wave infrared. Steady-state excitation spectra, supported by transient photoluminescence studies, demonstrate that the transfer efficiency is at least (90±13)% following in-situ exchange to shorter ligands (caprylic acid). The mechanism is Dexter transfer -- the simultaneous exchange of two electrons due to wavefunction overlap. We expect triplet exciton transfer to nanocrystals to be broadly applicable in solar and short-wave infrared light-emitting applications, where effective molecular phosphors are presently lacking. In particular, this route to ‘brighten&’ low-energy molecular triplet excitons may permit the sensitization of conventional silicon solar cells with singlet fission materials.
3:15 AM - II4.04
Exciton Dynamics at Hybrid Quantum Dot-MoS2 Interfaces
Ferry Prins 1 Aaron J Goodman 1 William A Tisdale 1
1MIT Cambridge USA
Show AbstractMonolayers of transition metal dichalcogenides (TMDCs) such as MoS2 are an exciting new class of materials for optoelectronic devices, combining high charge-carrier mobility with a direct optical band gap. Electronic or excitonic coupling of colloidal quantum dots (QDs) with TMDCs may enable a wide range of technologies including broadband optical down-conversion, optical detection, photovoltaic sensitization, and color shifting in light-emitting devices. Using spatially-resolved transient photoluminescence spectroscopy, we observe highly efficient non-radiative energy transfer from cadmium selenide (CdSe) quantum dots to monolayer and few-layer molybdenum disulfide (MoS2). The quenching of the donor quantum dot photoluminescence increases as the MoS2 flake thickness decreases, with the highest efficiency (>95%) observed for monolayer MoS2. This counterintuitive result arises from reduced dielectric screening in thin layer semiconductors having unusually large permittivity and a strong in-plane transition dipole moment, as found in MoS2. We discuss the consequences of this phenomenon for excitonic coupling between other 0D emitters and 2D absorbers.
3:30 AM - *II4.05
All-Inorganic Design of Colloidal Nanocrystals: New Inorganic Ligands and New Insights into Nanocrystal-Ligand Interface
Maksym V Kovalenko 1
1ETH Zurich Zurich Switzerland
Show AbstractChemically synthesized inorganic nanocrystals are considered to be promising building blocks for a broad spectrum of applications in solid-state devices. To achieve high degree of electronic coupling, the nanocrystal packing, surface chemistry and surrounding medium need to be properly designed. With the advent of inorganic capping ligands [1], a considerable progress has been demonstrated towards nanocrystal-based photovotaics, photodetectors, electronics, thermoelectrics, Li-ion batteries and other applications.
The search for new inorganic ligands, which enhance both the individual and collective properties of nanocrystals, remains an important task. To this end, we have shown recently that metal halide complexes such as methylammonium lead halides can act as versatile capping ligands, providing high colloidal stability and efficient electronic passivation [2].
Very little is known about the atomistic details of the interface between the nanocrystal surface and inorganic capping ligands. We will discuss our most recent work in this direction, including novel surface-enhanced nuclear-magnetic resonance spectroscopy [3], and comprehensive spectroscopic study (XAS, far-IR, NMR, Raman) on the binding of halometallate and chalcogenidometallate anions to the nanocrystal surface [unpublished].
[1] Kovalenko, M. V.; Scheele, M.; Talapin, D. V. Science2009, 324, 1417.
[2] D. N. Dirin, S. Dreyfuss, M. I. Bodnarchuk, G. Nedelcu, P. Papagiorgis, G. Itskos, and M. V. Kovalenko. J. Am. Chem. Soc., 2014, 136, 6550-6553.
[3] L. Protesescu, A. J. Rossini, D. Kriegner, M. Valla, A. de Kargommeaux, M. Walter, K. V. Kravchyk, M. Nachtegaal, J. Stangl, B. Malaman, P. Reiss, A. Lesage, L. Emsley, C. Coperet, and Maksym V. Kovalenko. ACS Nano, 2014, 8, 2639-2648.
4:30 AM - *II4.06
Controlling and Measuring the Energy Landscape in Single Semiconductor Nanowire Heterostructures
Leigh M Smith 1
1University of Cincinnati Cincinnati USA
Show AbstractThere has been intense interest in recent years to control the electronic structure in quasi one-dimensional nanowires through the fabrication of novel axial and radial heterostructures. Unlike materials in higher dimensions, nanowires have the unique ability to grow axial or radial heterostructures between almost any two materials regardless of lattice mismatch or strain. Understanding exactly how the electronic properties of the nanowire are changed through this control is extremely important and requires spectroscopies with high spatial, temporal and spectral resolution. I will discuss a number of examples in which the electronic structure in nanowire heterostructures can be modified either through strain, crystal structure, or quantum confinement, and what insights can be provided by a number of single nanowire optical spectroscopies.
This effort is the result of collaborations with the research groups of Chennupati Jagadish at Australian National University, Joanne Etheridge at Monash University, Howard Jackson at University of Cincinnati, Jan Yarrison-Rice at Miami University, and Bryan Wong at the University of California Riverside.
This work was supported by the NSF through DMR-1105362, 1105121 and ECCS-1100489, and also the Australian Research Council (ARC) and the Australian National Fabrication Facility.
"Illuminating the Second Conduction Band and Spin-Orbit Energy in Single Wurtzite InP Nanowires," S. Perera, et al, Nano Letters 13, 5367 (2013).
"Optical, Structural, and Numerical Investigations of GaAs/AlGaAs Core-Multishell Nanowire Quantum Well Tubes," M.A. Fickenscher et al., Nano Letters13, 1016 (2013).
Transient Rayleigh Scattering: A new probe of picosecond carrier dynamics in a single semiconductor nanowire, M. Montazeri et al, Nano Letters 12, 5389-5395 (2012).
Direct Measure of Strain and Electronic Structure in GaAs/GaP Core-Shell Nanowires, Mohammad Montazeri, et al., Nano Letters, 10, 880-886 (2010).
5:00 AM - II4.07
Synthesis and Characterization of Alloy InPAs/ZnS Core-Shell Nanoparticles with Tunable Emission in the Near-Infrared
Sarah Wolper 1 Andreas Kornowski 1 Horst Weller 1
1University of Hamburg Hamburg Germany
Show AbstractIn comparison to conventional organic dyes, semiconductor nanoparticles exhibit a lot of advantages: They have large absorption coefficients, show size-tunable photoluminescence and long-term photostability. Among III-V semiconductor nanoparticles, the non-toxic InP is the most intensively studied material.[1] Dependent on their size, these particles cover a wavelength range between 500 nm and 700 nm. However, for bioapplication an emission in the optical window between 700 nm and 1000 nm is highly desirable because of the minor absorption by tissue. For this purpose the preparation of III-V alloy nanoparticles, like InPAs, is suitable. These particles with an emission up to 800 nm have already been prepared by Bawendi and coworkers.[2]
Here we present the synthesis of alloy InPAs/ZnS nanoparticles with a tunable emission between 700 nm and 1100 nm. Starting from pure InP, a series of alloyed III-V core-shell nanoparticles containing an InPAs core and a ZnS shell were synthesized using a simple one-pot reaction. Depending on the P/As-ratio, a tunable emission was observed. The in-situ shell growth is necessary to obtain luminescent particles. The particles were characterized concerning their optical properties, crystallinity and morphology. Among others, investigations regarding different shell materials and bioapplication have been started.
[1] R. Xie, D. Battaglia, X. Peng, J. Am. Chem. Soc.2007, 129, 15432-15433.
[2] S.-W. Kim, J.P. Zimmer, S. Ohnishi, J.B. Tracy, J.V. Frangioni, M.G. Bawendi, J. Am. Chem. Soc.2005, 127, 10526-32.
5:15 AM - II4.08
Near Infrared Single Particle Spectroscopy and Photoinduced Charge Transfer in Lead Sulfide/Cadmium Sulfide Nanocrystals
Mircea Cotlet 1 2 Huidong Zang 1 Prahlad Kumar Routh 2 1
1Brookhaven National Laboratory Upton USA2Stony Brook University Stony Brook USA
Show AbstractSemiconducting Nanocrystals (NCs) have attracted intense research attention as light absorbing/emitting nanomaterials for photovoltaic solar cells and light emitting diodes. This is because such NCs have size-dependent and therefore tunable optoelectronic properties that allow control of their interaction with other nanomaterials. Lead sulfide (PbS) nanocrystals are narrow band gap NCs absorbing in the near infrared range, a property highly desired for photovoltaic devices. In this presentation, we have used time-resolved Single Particle Spectroscopy to investigate the dynamics of charge transfer from isolated PbS/CdS nanocrystals to TiO2 (electron transfer) and to PEDOT:PSS (hole transfer). We observed both electron and hole transfer processes to be dynamic because both the photoluminescence (PL) intensity and lifetime of isolated PbS/CdS are reduced in the presence of charge acceptor materials. We also observed heterogeneity in single particle charge transfer rates and relate that to imperfections in the NC&’s CdS shell surrounding the PObS core and acting as a tunneling barrier towards charge transfer. More importantly, we found that the PL blinking of isolated PbS/CdS is affected in the presence of charge transfer process, with both “bright” and “dark” state probabilities decreasing when the NC undergoes electron or hole transfer, opposite to what was observed for CdSe/ZnS NCs emitting in the Visible range [1,2]. While being the first demonstration of a time-resolved single particle study in near IR of PbS/CdS and related charge transfer, the present study brings fundamental understanding of the nanoscale behavior of such nanomaterials and their interaction with acceptor materials.
[1].Z.Xu, M.Cotlet, Photoluminescence blinking dynamics of colloidal Qdots in the presence of external charge traps" Small, Wiley 2012, 8(2), 252-256.
[2]. H.Zang, P.K.Routh, R.Alam, M.Maye, M.Cotlet, Core size dependent hole transfer from a photoexcited QD to a conjugated polymer. Chem. Commun., 2014, 50, 5958-596.
5:30 AM - II4.09
A Tale of Two Giants: ZnSe/CdS and CdSe/ZnSe Thick-shell Core/Shell Quantum Dots
Krishna P. Acharya 1 Hue M. Nguyen 1 Terry G. Holesinger 1 Han Htoon 1 Jennifer A. Hollingsworth 1
1Los Alamos National Laboratory Los Alamos USA
Show AbstractThe application of colloidal semiconductor quantum dots (QDs) to a range of light-emission technologies from solid-state lighting to single-photon sources is limited in part as a result of “intrinsic” processes that cause reduced fluorescence efficiency and stability: blinking and photobleaching. One model for QD blinking links the processes of QD charging and Auger recombination (AR), where a charged QD is “dark” due to efficient non-radiative AR. Random cycling between a charged and a neutral condition, thereby, results in the observed blinking or fluorescence intermittency. Our approach to blinking (and photobleaching) suppression was to grow a thick, epitaxial shell of a higher bandgap onto the emitting QD core. The resulting core/thick-shell was dubbed the “giant” QD (g-QD). The thick shell can limit the extent of charging, but it also has been shown to lead to shell-thickness-dependent AR suppression. The dramatic suppression in AR likely results from a combination of factors - larger volume, possible adventitious interfacial alloying, and electronic structure.Here, we investigate the synthesis of two new thick-shell core/shell QD systems - CdSe/ZnSe and ZnSe/CdS. In contrast with previous g-QD preparations, we find that thick-shell growth based on a modified successive ionic layer adsorption and reaction (SILAR) method is complicated by cation exchange and/or alloying processes that are activated in these systems as a function of reaction temperature, shell-reactant concentration, and even choice of ligand. The impact of cation exchange and cation alloying on QD structure is analyzed using high-resolution scanning transmission electron microscopy imaging and chemical mapping, while the structural correlations with photophysical problems are assessed at both the ensemble and single-QD level. Critically, we show that in the case of successful core/thick-shell synthesis, the resulting electronic structure - type I or type II band alignment - determines whether suppressed photobleaching and blinking are achieved, with type-I CdSe/ZnSe g-QDs failing to meet our criteria for enhanced stability and type-II ZnSe/CdS rivaling even the best non-blinking g-QDs (Ghosh et al. J. Am. Chem. Soc.2012, 134, 9634-9643).
5:45 AM - II4.10
Thick Shell CdSe/CdS Quantum Dots with 100% Quantum Yield at Room Temperature
Michel Nasilowski 1 2 3 Piernicola Spinicelli 1 2 3 Benoit Dubertret 1 2 3
1ESPCI Paris France2CNRS Paris France3UPMC Paris France
Show AbstractThe quest for the perfect quantum dot (QD) is a drive for both chemists and physicists. Since the landmark synthesis of colloidal semiconductor nanocrystals1, many studies have tried to understand and limit QD emission blinking in time2.
The most accepted explanation for the emission intensity flickering is the presence of an excess charge3. Due to an Auger process, two charges recombine in a non-radiative manner, transferring their energy to this third charge. This results in switching of the QD between an emissive and a non-emissive state.
A composition gradient between the core and the shell has been shown to suppress blinking4,5. Nevertheless, the quantum efficiency of this kind of dots is around 50%. Another strategy - thick shells - yields QD with a quantum efficiency of 100% at cryogenic temperature6.
We present a new generation of quantum dots with unmatched optical properties. They exhibit a quantum yield of 100% at room temperature, with a perfectly stable, non-blinking, fluorescence emission over long periods of time (hours). We measured a similar quantum yield for the monoexciton and for the biexciton, which shows that Auger recombination are completely suppressed in these QDs. At high excitation powers, these QDs show multiexcitonic emission even at the single dot level, so that single QDs have an excitation-dependent light emission, another proof of the complete suppression of Auger recombination. These QDs bring a rupture in the colloidal QD community in the sense that they are the first example of 100% quantum yield QD at room temperature even at high excitation power, regardless of their charge state.
1. Murray, C. B.; Norris, D. J.; Bawendi, M. G. Synthesis and Characterization of Nearly Monodisperse CdE (E = Sulfur, Selenium, Tellurium) Semiconductor Nanocrystallites. J. Am. Chem. Soc.1993, 115, 8706-8715.
2. Nirmal, M.; Dabbousi, B. O.; Bawendi, M. G.; Macklin, J. J.; Trautman, J. K.; Harris, T. D.; Brus, L. E. Fluorescence Intermittency in Single Cadmium Selenide Nanocrystals. Nature1996, 383, 802-804.
3. Kuno, M.; Fromm, D. P.; Hamann, H. F.; Gallagher, A.; Nesbitt, D. J. “On”/“off” Fluorescence Intermittency of Single Semiconductor Quantum Dots. J. Chem. Phys.2001, 115, 1028.
4. Wang, X.; Ren, X.; Kahen, K.; Hahn, M. A.; Rajeswaran, M.; Maccagnano-zacher, S.; Silcox, J.; Cragg, G. E.; Efros, A. L.; Krauss, T. D. Non-Blinking Semiconductor Nanocrystals. Nature2009, 459, 686-9.
5. Cragg, G. E.; Efros, A. L. Suppression of Auger Processes in Confined Structures. Nano Lett.2010, 10, 313-7.
6. Javaux, C.; Mahler, B.; Dubertret, B.; Shabaev, A; Rodina, A; Efros, A. L.; Yakovlev, D. R.; Liu, F.; Bayer, M.; Camps, G.; et al. Thermal Activation of Non-Radiative Auger Recombination in Charged Colloidal Nanocrystals. Nat. Nanotechnol.2013, 8, 206-12.
II5: Poster Session I
Session Chairs
Tuesday PM, December 02, 2014
Hynes, Level 1, Hall B
9:00 AM - II5.01
Fast Response Beam Coupling in Dye Doped LC Cells Sandwiched with ZnSe Coated Plates
Tingyu Xue 1 Hua Zhao 1 Cuiling Meng 1 Jiayin Fu 1 Jingwen Zhang 1
1Harbin Institute of Technology Harbin China
Show AbstractFor many years, photorefractive (PR) materials have been extensively and considerably studied for their broad applications. With the increasing demand for real time holographic display, fast response photorefractive materials have been of great interest recently. Liquid crystal (LC) is one of the excellent PR materials because of its low voltage reaction, easy and matured processing techniques. However, most reported PR-like effects in nematic LCs possesses slow response rates. With photoconductor substrates interlayers in modifying LC cells, the PR response can be shortened to few tens of milliseconds. In this paper, we demonstrate a fast response (the fastest response we achieved is 1.0 ms for rising time) dye doped LC cells with semiconducting layer coated on the electrode, which serve as a very promising material in holographic display.
ZnSe was chosen as the photoconductor substrates interlayers because of its excellent photoconductive properties. Nanoscale (500 nm) n-type ZnSe was directly deposited on top of two indium tin oxide glass plates with e-beam evaporation deposition methodology. With slightly doping (0.01 wt%) dye pyrromethane 597 to 4,4&’-n-pentylcyanobiphenyl, several specimens with different thickness (3.5 mu;m, 6.35 mu;m, 31 mu;m respectively) were made. Typical two wave mixing (TWM) and degeneration four wave mixing (FMW) were done under various applied dc voltage from 0 to 12 V. PR grating was written by two 5 mW, p-polarized, 561 nm beams with crossing angle theta;=1.0° and read out by a weak (50 mu;W) s-polarized beam.
The response time was measured by tracking the intensity change of first-order diffraction spot at three conditions: (1) turning on light firstly, switch voltage; (2) turning on voltage firstly, switch both two beams; (3) turning on voltage firstly, switch single beam. Experimental results show that the response time all reduce to small value (3.7 ms for TWM, 35.5 ms for double beam switch in FMW, 11.6 ms for single beam switch in FMW, and 6.9 ms for voltage switch in FMW) when the external applied voltage is 12V. One can see that the electrical response is much quicker than the optical response, which differs from the case in the past. The maximum first-order diffraction efficiency was 7 %, 6.0 %, 17% in FMW experiment for 3.5 mu;m, 6.35 mu;m, 31 mu;m LC cells respectively, which is big enough for real time holographic display.
One thing needs to be mentioned is that for 6.35mu;m sample, the response time increased correspondingly with increasing of applied voltage at the beginning and start to decrease after a turning point (differs from different conditions mentioned before). When the writing beam or applied voltage is off, the first-order diffraction intensity experienced several regular oscillations after fading to zero, which indicates the complex carrier transport process within the LC cells. This work may serve as a guidance for designing low voltage operated holographic display.
9:00 AM - II5.02
Understanding and Controlling the Photocatalytic Activity Induced by Potassium Incorporated Nanostructured Titanium Oxide Films Produced by Means of Wet Corrosion Process
So Yoon Lee 1 Zhihong Zhang 1 Jean-Pierre Locquet 2 Jin Won Seo 1
1Ku Leuven Heverlee Belgium2KU LEUVEN Heverlee Belgium
Show AbstractNanostructured metal oxide films have attracted considerable interest for active components in photocatalysis, phtovoltaics, electorochromic devices, electrochemistry, biointerfaces and corrosion because of their large band gap energy, corrosion resistance, mechanical durability, and low costs. In particular, Ti-based materials have attracted attention due to the unique and diverse physico-chemical properties and the potential for diverse applications. Whereas other metal oxide particles for photocataysis such as CdS or GaP degrade and produce toxic endproducts, the catalytic activity of Ti-based materials involves only photoenergy without additional chemicals. Among them, nanostructured potassium (K) incorporated titanium oxide films (nTOFs) is a promising candidate, in particular due to the possibility to tune the electrical and optical properties by controlling the K content. However, currently, the nanostructure fabrication generally involves complicated process, low reproducibility and/or high cost for chemical modification. Hence, a simple method to synthesize and to tune the desired morphology and property is strongly desirable.
In this contribution, we demonstrate the wet corrosion process (WCP) which is a simple one-step method for nanostructures fabrication using various Ti-based materials. We have systematically investigated the relation between the Ti content of the initial metal and the condition of WCP to control the structural- and physical properties. In order to validate the effect of the type and amount of alloying species on nanostructures fabrication, Ti-6Al-4V alloy (TAV), Ti-Ni (TN) alloy and pure Ti were used with the Ti content 90%, 50% and 100%, respectively. For WCP, various concentrations of the KOH solutions were used.
Ti- and TAV metals with more than 90 % Ti content yielded elongated nanostructures of K-incorporated nTOFs (diameter: about 10 to 100 nm, length: several tens of micrometers) using 10- 20 mol/L-KOH solution. In contrast, TN metal with 50% of Ti content required a KOH treatment with > 20 mol/L-KOH solution to synthesize comparable morphology as obtained with Ti- and TAV metals. Within the same morphology, the general trend for K incorporation and structural changes is remarkably similar, indicating that the Ti content in the initial material as well as the K content in the final products are the most important factors to establish the nanostructures production with this process.
In these obtained nanostructures, the optical property showed a blue-shift in the UV-vis spectrum due to quantum confinement effects. In addition, we could observe the high performance of the photocatalytic activity with a negatively charged stable surface without secondary treatment. On the basis of these results, the morphology and optical property have been tuned with the Ti content and the condition of KOH solution.
9:00 AM - II5.03
Extended X-Ray Absorption Fine Structure Study of Gallium Doped Cadmium Selenide Nanocrystals
Joshua Halverson 1 Alexander Kompch 2 Markus Winterer 2 Wayne L Gladfelter 1
1University of Minnesota Minneapolis USA2University of Duisburg-Essen Duisburg Germany
Show AbstractCadmium selenide nanocrystals were prepared using established literature methods.1,2 As part of a study to dope nanocrystals electronically, the nanocrystal synthetic solutions included small concentrations (1 and 10% based on the initial cadmium concentration) of tris(acetylacetonato)gallium. Following purification, samples of the resulting nanocrystals were digested in aqua regia and analyzed using inductively coupled plasma mass spectrometry to quantify the amount of gallium incorporated into each sample. Nondestructive measurement of the gallium in the nanocrystals using x-ray absorption spectroscopy corroborated the results from the digestion analysis. While the presence of gallium in these samples did not alter the electronic absorption spectra of the CdSe nanocrystals, the nanocrystals demonstrated increased fluorescence quantum yield, suggesting that the gallium atoms allow for more efficient exciton recombination in the nanocrystal.3 Extended x-ray absorption fine structure spectroscopy (EXAFS) was used to examine the local bonding environment, as well as the spatial positioning of the gallium dopant. Nanocrystals powders were pressed into kapton tape, and spectra were collected on beamline 20-BM-B at the Advanced Photon Source at Argonne National Labs. Several model systems were created describing the possible locations of the gallium dopants including substitution for a cadmium within the lattice, incorporation into interstitial sites or adsorption on the nanocrystal surface. Theoretical EXAFS spectra were produced from these models, compared and fitted to the experimental data. The models were further refined using reverse Monte Carlo simulations and analysis of the x-ray absorption near edge structure spectra (XANES). The impact of each model on the theoretical EXAFS spectra will be presented along with a comparison to the experimental measurements.
(1) Li, J. J.; Wang, Y. A.; Guo, W.; Keay, J. C.; Mishima, T. D.; Johnson, M. B.; Peng, X. J. Am. Chem. Soc.2003, 125, 12567.
(2) Wills, A. W.; Kang, M. S.; Wentz, K. M.; Hayes, S. E.; Sahu, A.; Gladfelter, W. L.; Norris, D. J. J. Mater. Chem.2012, 22, 6335.
(3) Sahu, A.; Kang, M. S.; Kompch, A.; Notthoff, C.; Wills, A. W.; Deng, D.; Winterer, M.; Frisbie, C. D.; Norris, D. J. Nano Lett.2012, 12, 2587.
9:00 AM - II5.04
Conducting Polymer-Metal Nanowire as the Transparent Electrode for Perovskite Solar Cells
Meng-Huan Jao 1 Wei-Fang Su 1
1National Taiwan University Taipei Taiwan
Show AbstractMetal nanowire, such as silver nanowire and copper nanowire, is one of the potential candidates for solution processable transparent conducting electrode and has been under intense research for years. The long and highly conductive metal nanowire attributes to the long range carrier transporting property, and the empty space between metal nanowires allows incident light passing through, giving transparency to some extent. However, its application as bottom transparent electrode for all-solution processed organic photovoltaic (OPV) is limited due to the highly rugged surface. For the OPV devices, the thickness of active layer is only about 100~200 nm, while the roughness of metal nanowire electrode is nearly about the same. Therefore the rough surface made by metal nanowire is prone to cause tremendous leakage current and deteriorate the device performance. Some research groups tackled this issue by using conducting polymer as the filling materials both to smooth the surface and to facilitate the carrier transport. Nevertheless, there is a trade-off between the roughness and the transparency of the conducting polymer-metal nanowire made electrode, that is, the smoother the surface is, the lower the transparency.
As the astonishing emerging photovoltaic technique, perovskite solar cells have some special properties different from OPV. First, the active layer can be as thick as 500 nm due to the longer carrier diffusion length. Second, the roughness of substrate may improve the crystallization and coverage of active layer material, thus leading to a better performance. These two special properties make the metal nanowire an adequate choice of electrode for perovskite solar cell. In our study we focus on the application of conducting polymer-metal nanowire as the vacuum-free solution-processable transparent electrode for perovskite solar cells. We control the surface roughness of bottom electrode by tailoring the thickness of conducting polymer layer, and thus we can unambiguously correlate the device performance with surface roughness. With this work, the picture of all solution-processable low cost high efficiency perovskite device is fulfilled.
9:00 AM - II5.05
Fabrication and Optical Properties of Single Au/TiO2 Core-Shell Nanowire
Seonhee Lee 2 Jubok Lee 2 1 Hyunchul Kim 2 Myungjun Kim 2 Shulan An 2 Seongrok Seo 2 Changdeuck Bae 2 Jeongyoung Kim 2 1 Hyunjung Shin 2
1Institute for Basic Science (IBS) Daejon Korea (the Republic of)2Sungkyunkwan Univ. Suwon Korea (the Republic of)
Show AbstractHybrid nanostructures have been attracted much attention due to their potential applications, for example, photovoltaics, photocatalysis and optical devices, etc. Among the various hybrid structures, Au/TiO2 core-shell nanowires (NWs) are considered as promising structures. In particular, Au nanostructures show unique optical properties such as surface enhanced Raman scattering and localized surface plasmon resonance, which expected to enhance optical and catalytic properties. However the optical properties of Au/TiO2 core-shell nanowires are rarely reported. Herein, we synthesize Au/TiO2 core-shell nanowires and investigated photoluminescence (PL) and Raman scattering of single Au/TiO2 core-shell NWs using laser confocal microscopic systems. TiO2 nanotubes (NTs) were prepared by atomic layer deposition with replications of anodic aluminum oxides (AAO) membranes followed by annealing. TiO2 NTs are of anatase phase and composed of few micron-long grains. After annealing process, TiO2 NTs were irradiated by UV light in the solution of HAuCl4 without any reducing agents or surfactants. As a result, single crystalline Au NWs were formed inside of TiO2 NTs. PL of the single Au/TiO2 core-shell NWs was greatly quenched by the efficient charge separation occurring at the interfaces between Au/TiO2. On the contrary, the scattering efficiency was increased up to 3 folds over the TiO2 nanotubes without Au nanowires as a core. Our results were consistent with FDTD calculation that showed the increase of light scattering and field intensity on Au/TiO2 core shell nanowires in magnitude and spectral coverage.
9:00 AM - II5.06
Photocurrent Enchancement of HgTe Quantum Dot Photodiodes by Plasmonic Gold Nanorod Structures
Ni Zhao 1 Mengyu Chen 1 Lei Shao 1 Jianfang Wang 1 Steve Kershaw 2 Andrey Rogach 2
1The Chinese University of Hong Kong Hong Kong Hong Kong2City University of Hong Kong Hong Kong China
Show AbstractThe near-field effects of noble metal nanoparticles can be utilized to enhance the performance of inorganic/organic photo-sensing devices, such as solar cells and photodetectors. In this work, we developed a well-controlled fabrication strategy to incorporate Au nanostructures into HgTe quantum dot (QD)/ZnO heterojunction photodiodes for photodetection application. Through an electrostatic immobilization and dry transfer protocol, a layer of Au nanorods with uniform distribution and controllable density is embedded at different depths in the ZnO layer for systematic comparison. More than 80% and 240% increments of average short-circuit current density (Jsc) are observed in the devices with Au nanorods covered by ~7.5 nm and ~4.5 nm ZnO layers, respectively. A periodic finite-difference time-domain (FDTD) simulation model is developed to analyze the depth-dependent property and confirm the mechanism of plasmon-enhanced light absorption in the QD layer. The wavelength-dependent external quantum efficiency spectra suggest that the exciton dissociation and charge extraction efficiencies are also enhanced by the Au nanorods, likely due to local electric field effects. The calculated detectivity and the transient results prove that by embedding the Au nanorods into the ZnO layer, the detectivity of the HgTe QD photodetector can be improved without affecting the response speed. Our fabrication strategy and theoretical and experimental findings shed new light on the applications of metal nanostructures to enhance the performance of organic/inorganic hybrid optoelectronic devices.
9:00 AM - II5.07
Repeatable Low Energy Growth Processes for Two-Dimensional ZnO Crystalline Nanostructures to be Used as a Transparent Conductive Oxide (TCO) Layer for Energy Harvesting Device
Matthew Erdman 1 Tito Busani 1 Olga Lavrova 1 Julio Martinez 2
1University of New Mexico Albuquerque USA2New Mexico State University Las Cruces USA
Show AbstractHigh quality ZnO nanostructures are typically grown using chemical vapor deposition at or above 400 °C or in an aqueous solution around 90°C. To create viable low cost devices using ZnO nanostructures such as energy harvesting devices, a lower energy growth procedure should be used. A repeatable low temperature growth process with a sample temperature during growth of 50°C has been produced to grow self assembled two dimensional, crystalline ZnO nanoplatelets. The nanoplatelets have an average diameter of approximately 600 nm and average thickness of approximately 20 nm. The nanostructures were grown in both an aqueous solution and within the condensed vapor of a 1:1 molar solution of Zinc Nitrate Hexahydrate and Hexamethylenetetramine. By varying the temperature and concentration of reactants either high density nanowires, interwoven nanoplatelets, or single nanoplatelets were grown. The substrate used for all experiments was an Al doped ZnO thin film deposited on glass via physical vapor deposition. Transmission electron microscopy (TEM) diffraction pattern analysis and X-Ray diffraction (XRD) analysis shows the nanoplatelets to have the same Wurtzite crystalline structure as the highly crystalline ZnO nanowires. Analysis of the transmissivity by spectroscope, conductivity by contacting conductive atomic force microscopy, and crystal structure analysis by TEM and XRD was conducted. The structures have large bandgap of approximately 3.2-3.3 eV depending upon the growth conditions. Transparent Conductive Oxide (TCO) properties of the nanostructures are shown as a function of the Aluminum doping concentration and an ideal concentration of Aluminum doping is presented to balance the conductivity and the transmissivity of the nanostructures. A proposed mechanism of the growth of the nanoplatelets and the aspect ratio dependence of the transmissivity is presented. Integration of the ZnO nanostructures as TCO with hybrid solar cell and thermoelectric devices shows a very low defect density at the interface of the inorganic material and the ZnO nanostructures, resulting in a promising low cost solution for energy harvesting systems.
9:00 AM - II5.08
Recombination Dynamics of Charge Carriers in Nanostructured Solar Cells
Andrea Maurano 1 Vladimir Bulovic 1
1MIT Cambridge USA
Show AbstractNanostructured solar cells are attracting increasing attention as a promising photovoltaic (PV) technology.[1] Generation of free charge carriers in nanostructured PV devices occurs at the electron donor-acceptor interface, analogous to the pn-junction interface in traditional crystalline silicon solar cells. However, recombination at this interface constitutes one of the major charge carrier loss pathways. Thus characterizing and controlling recombination dynamics is critical for informing the design of novel device architectures. Recombination parameters also enable comparisons between different device architectures.
In this work, we employ the transient photovoltage (TPV) and photocurrent (TPC) technique[2] to probe recombination mechanisms under standard operating conditions in different solar cells. We study lead sulfide quantum dot and zinc oxide (QD PbS:ZnO) pn-heterojunction, which resulted in a latest record efficiencies for QD solar cells[3] and small-molecule based solar cells (chloroaluminium phthalocyanine - ClAlPc and tetraphenyldibenzoperiflanthene -DBP as electron donor and fullerene (C60) as electron acceptor planar mixed heterojunction). The observed differences in carrier lifetimes arise from variations in recombination mechanisms and in the respective interface morphologies: for example, recombination in QD PbS:ZnO may be attributed to the intrinsic PbS bulk recombination mechanisms, which in turn influence the open circuit voltage of the solar cell whereas the slower recombination transients observed in the ClAlPc:C60 device may be attributed to the intrinsic planarity of this particular architecture.
References
[1] Anonymous, “A sunny outlook,” NATURE PHOTONICS, vol. 6, no. 3, p. 129, Mar. 2012.
[2] A. Maurano, R. Hamilton, C. G. Shuttle, A. M. Ballantyne, J. Nelson, B. O&’Regan, W. M. Zhang, I. McCulloch, H. Azimi, M. Morana, C. J. Brabec, and J. R. Durrant, “Recombination Dynamics as a Key Determinant of Open Circuit Voltage in Organic Bulk Heterojunction Solar Cells: A Comparison of Four Different Donor Polymers,” Advanced Materials, vol. 22, p. 4987-+.
[3] C.-H. M. Chuang, P. R. Brown, V. Bulovicacute;, and M. G. Bawendi, “Improved performance and stability in quantum dot solar cells through band alignment engineering,” Nature Materials, May 2014.
9:00 AM - II5.09
High-Resolution Patterning of Quantum Dot LEDs by Inkjet Printing
Giovanni Azzellino 1 2 Geoffrey James Supran 3 Vladimir Bulovic 4
1Politecnico di Milano Milano Italy2Istituto Italiano di Tecnologia IIT@POLIMI Milano Italy3Massachusetts Institute of Technology Cambridge USA4Massachusetts Institute of Technology Cambridge USA
Show AbstractWe demonstrate high-resolution patterning of quantum dot light-emitting devices (QD-LEDs) by using the droplet-on-demand inkjet printing of colloidal QDs. By tailoring the solvents of QD solutions and by modifying the surface energy of the layer underlying the printed QD films, we obtain ‘coffee stain&’-free QD structures. In our demonstrations we shrink the size of printed QD features down to 10 mu;m, using single-droplet prints. With the latest generation of ‘hybrid&’ QD-LED architectures, we show that both visible- and near infrared-emitting QD-LEDs can be patterned, with pixel areas defined by these single printed droplets. This work significantly advances the high-resolution scalable patterning of QD film, as needed in fabrication of high quality QD pixels in efficient LED applications.
The high luminescence efficiency and uniquely size-tunable color of solution-processable semiconducting colloidal quantum dots (QDs) highlight their potential for use as both optically- and electrically-excited luminophores in energy-efficient, substrate-independent, high-color-quality solid-state lighting and thin-film display technologies1. Recent advances in the design of electrically-driven QD-LEDs have seen their external quantum efficiencies approach 20%; comparable to those of phosphorescent organic LEDs2.
Inkjet printing3 offers a new, largely unexplored technique for room temperature, maskless pattering of QD-LEDs, yet inkjet printing is often hampered by the formation of ‘coffee stains&’, which can be difficult to overcome solely through optimization of the driving voltage waveform of the printer-head. Here, solvent tailoring enables ‘coffee stain&’-free, smooth and uniform inkjet-printing of QD pixels. Since the technique relies on solvent - and not solute - tailoring, it is readily translatable to most QD solutions. We also introduce a surface treatment, applied before printing, which allows us to shrink the lateral dimensions of droplets. This treatment serves as an additional surface-passivation layer, enabling the fabrication of QD-LEDs based on QDs deposited in air rather than in an inert environment.
1. Y. Shirasaki, G. J. Supran, M. G. Bawendi and V. Bulovicacute;, Nat. Photonics, 7, 13 (2013).
2. B. S. Mashford, et al.Nat. Photonics, 7, 407 (2013).
3. M. Caironi, E. Gili, H. Sirringhaus, in Organic Electronics II: More Materials and Applications (Ed.: H. Klauk), Wiley-VCH, Stuttgart, 2011, pp. 281-326.
9:00 AM - II5.10
Visible-Light Photoactive Cuboid Cu2ZnSnS4 Nanocrystals for Energy Conversion
Nageh K. Allam 1
1American University in Cairo New Cairo Egypt
Show AbstractIn this work, cuboid kesterite Cu2ZnSnS4 (CZTS) nanocrystals were synthesized via a rotary evaporation method, using thiorea as a sulfur source. The effects of varying the rotation rate on the properties of the cuboid Cu2ZnSnS4 nanocrystals were investigated. The samples were characterized using X-ray diffraction, Raman spectroscopy, transmission electron microscopy (TEM), and UV-vis spectroscopy. The X-ray diffraction (XRD) patterns indicated that the as-deposited CZTS nanocrystals were transformed from an amorphous state into a crystalline state with a kesterite structure at a rotation rate of 100 rpm. Results from the Raman spectroscopy experiments agreed with the XRD results. TEM and high-resolution transmission electron microscopy (HRTEM) images showed that the as-prepared samples were polycrystalline, and had a uniform nanocrystal morphology. UV-vis absorption spectra revealed strong absorption in the visible light region, with a direct band gap of 1.48 eV and an absorption coefficient on the order of 104 cmminus;1, which is suitable for photovoltaic applications.
9:00 AM - II5.11
Metal Nanoclusters as a Next-Generation Emitter for Light Emitting Diodes
Tae-Wook Koh 1 Barry P Rand 1
1Princeton University Princeton USA
Show AbstractOrganic molecular emitters used in organic light-emitting diodes (organic LEDs) have been well known for their excellent quantum efficiencies, while leaving some intrinsic drawbacks unresolved including diverse yet complicated chemical structures for different emission colors, moderate spectral saturation and unstable blue emitters. Recently, a new category of ‘quantized emitters&’ where the quantum confinement effect leads to characteristic absorption and emission is being actively investigated as next-generation emitters for LEDs, with a recent breakthrough in device external quantum efficiency (EQE) close to 20% [1]. However, efficient quantum dots are typically built using highly toxic heavy metal compounds like CdS or CdSe, and require complicated and expensive fabrication processes.
Here we focus on another nanometer-scaled (<2 nm) emitter known as metal nanoclusters (MNCs). While bulk metals do not exhibit any discrete energy levels, MNCs, where cores comprised of several tens of metal atoms are surrounded by organic ligands, exhibit interesting optical properties distinct from bulk metals, such as the existence of an optical bandgap and highly efficient photoluminescence in the visible/infrared range. In this work, we incorporated Au nanoclusters into LEDs as the emitting element. We have adopted a facile and scalable synthesis protocol [2] which leads to nanoclusters in which Au(I)-thiolates surround a Au(0) core and that exhibit radiative recombination by ligand-to-metal charge transfer (LMCT) or ligand-to-metal metal charge transfer (LMMCT).
By carefully controlling MNC synthesis, and through device structure optimization, we show MNC-LEDs with peak EQE close to 0.1% and peak forward luminance up to 40 cd/m2 with parasitic emission from surrounding layers suppressed, which is more than an order of magnitude enhancement compared to the first demonstration of MNC-LEDs [3]. Also, by changing the degree of aggregation of ligand chains around the core of the nanoclusters we have shown that the electroluminescence spectrum of MNC-LEDs can be tuned from an orange emission at 610 nm to an infrared emission at 820 nm. Furthermore, relatively long photoluminescence lifetime (>1 mu;s) of metal nanoclusters suggest that the emission may be phosphorescence, which will help to circumvent the intrinsically low internal quantum efficiency of fluorescent LEDs after proper device engineering. We believe that this initial demonstration, combined with many advantages of MNCs such as their non-toxic nature, ambient air stability and possibility of easily tuning emission by varying core metals, ligands and cluster sizes will make further pursuit of metal nanoclusters as next-generation emitters more attractive.
[1] B. S. Mashford et al, Nature Photonics7, 407 (2013)
[2] Z. Luo et al, JACS134, 16662 (2012)
[3] B. Niesen et al, Adv. Mater. 26, 1446 (2014)
9:00 AM - II5.12
Gold Nanowires with High Aspect Ratio and Morphological Purity
Emren Nalbant Esenturk 1 Elcin Dertli 1 Sahin Coskun 2
1METU Ankara Turkey2METU Ankara Turkey
Show AbstractThere is a growing interest on the synthesis of high aspect ratio noble metal nanowires since they led to production of transparent and conductive networks for the fabrication of electrodes for photovoltaic cells and light-emitting diodes. Gold nanowires with high structural purity and controllable diameter have been synthesized with modified hydrothermal process. The effects of physical and chemical parameters that play important roles on the quality of the produced materials have been studied. The optimum conditions were determined for the synthesis with two different surfactant molecules, namely hexamethylenetetramine (HMTA) and ethylenediaminetetraacetic acid (Na2-EDTA). Au nanowires synthesized under these conditions have high aspect ratio with high structural purity and are potentially useful for applications such as surface enhanced Raman scattering (SERS) spectroscopy and transparent conducting electrodes for optoelectronic devices. The synthesis, characterization and evaluation of parameters will be presented.
9:00 AM - II5.15
Direct Detection of Triexciton Emission in Individual CdSe Colloidal Quantum Dots
Thomas Bischof 1 Moungi G Bawendi 1
1MIT Cambridge USA
Show AbstractMultiexcitons are the ultimate limit for emission from colloidal quantum dots. They are also implicated in photoluminescence intermittency of indivudal quantum dots, which leads to photodarkening and other processes detrimental to their use in light-generation applications. Recently, photon-correlation methods have been used to understand how to exert synthetic control over the efficiency of multiexciton emission. We report here the development of a new photon-correlation method to isolate emission from the triexciton. We use this method to characterize the effects of shell composition and thickness on the Auger recomcombination rate of triexciton and biexciton emission.
9:00 AM - II5.16
Complementary Optical Superresolution, Atomic Force and Confocal Microscopy Platform for Advanced Investigations of Novel Hybrid Nanostructures Comprising Quantum Dots and Plasmonic Particles
Katja Dopf 1 Patrick M. Schwab 1 2 Carola Moosmann 1 Anne Habermehl 1 Uli Lemmer 1 2 Hans-Juergen Eisler 1
1Karlsruhe Institute of Technology Karlsruhe Germany2Institute of Microstructure Technology Karlsruhe Germany
Show AbstractNanoscale systems combining colloidal quantum dots with plasmonic antennas will pioneer the development of novel nanodevices with tailored optical features for a wide range of applications. Especially theoretical and experimental investigations on the dipole-dipole interaction within single quantum dot assemblies and between single quantum dots and optical antennas need to be done in order to fully understand the performance of the artificial hybrid structures. The interactions strongly depend on the particular distance between such nanoparticles.
We propose the use of an atomic force microscope (AFM) to image and to position quantum dots on a substrate with respect to plasmonic nanoparticles. Additionally, we analyze the arrangements with several optical characterization methods that support each other.
First, we perform standard fluorescence wide field microspectroscopy for a quick overview of the sample. We extended the wide field technique by using superresolution optical fluctuation imaging (SOFI) to obtain highly resolved images well below the optical diffraction limit. Compared to other superresolution methods the advantage of SOFI is its simplicity concerning the prerequisites for the sample and the setup.
Second, we analyze both the quantum dots and the metal nanostructures with a confocal microscopy setup. By using a pulsed Ti:Sa-laser we measure photoluminescence lifetimes of the quantum dots and two-photon-induced photoluminescence of nanoantennas.
Thus ultimately, we can simultaneously use the topography information with a spatial resolution in the range of the nanoparticles gained from the AFM and cross-correlate it with the optical characterization methods. The tip is perfectly aligned to the focused laser by detecting the Raman signal of the silicon tip. The resonant optical antenna samples are fabricated via an ebeam lithography protocol that yield gold or aluminum nanostructures. Quantum dots are manipulated via the push-and-pull method with an AFM to the desired position, e.g. into the antenna hot spots.
In summary, our approach represents a complementary microscopy setup to create prototype hybrid nanostructure assemblies and to provide a platform for fundamental investigations. First, superresolved fluorescence images combined with topography information and analysis of blinking statistics are important for a fast identification of ideal quantum dot candidates. Second, AFM measurements are crucial for the necessary precision of allocating the nanostructures and furthermore, the AFM is a tool to position single quantum dots. And third, simultaneous confocal microscopy and AFM is the technique of choice to examine the plasmonic structures and the optical properties of quantum dots because it allows us to link near-field and far-field information.
9:00 AM - II5.17
Metal-Decorated Sodium Titanate Nanowires for Low-Temperature Selective Catalytic Reduction of NO
Ying-Chih Pu 1 Kai-An Tsai 1 Yi-Hsuan Chiu 1 Yung-Jung Hsu 1
1National Chiao Tung University Hsinchu Taiwan
Show AbstractWe developed an alkaline hydrothermal method to synthesize sodium titanate (NaxH2-xTi3O7) nanowires [1], which are used as the support for the deposition of various noble metal particles (Au, Ag, Pt, Pd). Selective catalytic reduction (SCR) of NO with NH3 is investigated over these catalysts. As compared to TiO2-based catalysts such as P-25 TiO2 and Homikat TiO2, NaxH2-xTi3O7-supported catalysts display superior catalytic performance in terms of lower SCR temperature and higher NO conversion. This superiority emanates from the strong electronic interaction between the deposited metal and NaxH2-xTi3O7, which provides abundant adsorption sites for NO to facilitate its dissociation. The effect of metal composition on the temperature window and reduction selectivity is also studied and interpreted. A correlation between the total acidity of catalysts and the SCR window and N2 selectivity is established.
[1] [1] Y.-C. Pu, Y.-C. Chen, Y.-J. Hsu, Appl. Catal. B: Environmental2010, 97, 389-397.
9:00 AM - II5.18
Quantification of Atomic-Scale Defects in Quantum Gold Nanowires
Paromita Kundu 2 1 Stuart Turner 2 Sandra Van Aert 2 N. Ravishankar 3 Gustaaf Van Tendeloo 2
1Institute of Bioelectronics (PGI-8), Forschungszentrum Jamp;#252;lich Jamp;#252;lich Germany2University of Antwerp Antwerp Belgium3Indian Institute of Science Bangalore India
Show AbstractGold nanowires of molecular scale dimension are of fundamental as well as technological interest owing to their tunable electrical transport characteristics leading to ballistic conduction. This implies single electron sensitivity making them potentially active material for catalysis and molecule sensing. A large scale production of the wires in pristine form is therefore a requirement for application; besides a detailed atomic structure study is important to understand their properties different from the bulk. Although the chemical synthesis route has been reported, isolation of the wires for measurement remained almost impossible. Recently, the direct growth of the single crystal 2 nm gold wires of large aspect ratio (approximately 500 or more) on substrates enabled electrical transport studies on them which reveals an insulating nature of these fine wires. Theoretical studies indicate a strong influence of the atomic arrangement in the crystal on their electronic behaviour. However, the structural investigation remained challenging due to the requirement of ultraclean samples for microscopic study. HRTEM combined with image simulation and exit-wave reconstruction can provide information on the local atomic structure, however, with aberration corrected microscopes and advanced analytical methods one can analyse the structure with picometer precision. This method is limited to atomically thin samples. Quantitative HAADF-STEM is a technique to analyse the structure of even few tens of nanometer thick samples and it allows us to determine atom positions in the lattice and determine elemental composition of the atomic columns. Therefore, aberration corrected electron microscopy combined with advanced quantification methods is a state of the art technique to extract information atom-by-atom. Here we present our investigation on these ultrafine gold nanowires, obtained by the above synthesis protocol, to determine their atomic structure by low dose aberration corrected high resolution (S)TEM. Quantification reveals patterned strain in the crystals which increases at the surface layer of atoms and that the wires are faceted with irregular atomic scale surface steps. These structural aspects can be related to their unique electrical features which makes them potential candidates for catalysis and sensorics. Besides, we studied the atom dynamics due to interaction with the electron beam at higher dose which gives insight to its mechanical behaviour and stability.
9:00 AM - II5.19
Ultrathin Au Nanowires Supported on ZnO for Photoelectrocatalytic Applications
Leelavathi A 3 Giridhar Madras 2 Ravishankar N 1
1IISc Bangalore India2IISc Bangalore India3IISc Bangalore India
Show AbstractTremendous demand for compact energy devices has stimulated rigorous research on designing electrocatalyst with superior activity. Ultrathin nanowires are believed to be promising high performance electrocatalysts towards oxidation reaction, but they disintegrate, aggregate and form nanoparticles specifically in polar medium like ethanol. To overcome these obstacles, here we demonstrate for the first time a wet chemical synthesis of ultrathin Au nanowires supported on amine functionalized ZnO, which represent a new type of hybrid, mZnO/Au nanowires. The designed hybrid was demonstrated to act as an electrode with excellent activity towards electrocatalytic oxidation of ethanol (EOX) and operation stability. Our experimental results indicate that the enhanced electrochemical activity is due to specific property induced by geometric effects as well as chemical effects due to surface modification. Both surface defect passivated ZnO and nanowires exhibited EOX independently via photoexcitation and applied potential, respectively. By exploiting mZnO/Au nanowires as photoanode, we observed synergetic current generation originating from interfacial photoelectron migration. The effect of interfacial charge transfer on synergetic current has been probed using hydroxyterephthalic acid generated emission signals. As a result hybrid displayed impressive photo-assisted electrooxidation current and also reduced over-potential. We envision that the as-synthesized semiconductor/ultrathin nanowires hybrids will open up new avenues for various exciting applications in different fields including electronics, where semiconductor properties can be tailored and integrated into optoelectronic devices even beyond fuel cell applications. Our methodology of in-situ growth of nanowires can be extended to different kinds of supports for designing 1D hybrid nanostructures.
9:00 AM - II5.20
Direct Atmospheric-Pressure Plasma Synthesis of Passivated Si-Based Nanocrystals for Photovoltaic Applications
Manuel Macias-Montero 1 Sadegh Askari 1 Conor Rocks 1 Somak Mitra 1 Calum McDonald 1 Vladimir Svrcek 2 Paul Maguire 1 Davide Mariotti 1
1University of Ulster Belfast United Kingdom2National Institute of Advanced Industrial Science and Technology (AIST) Tsukuba Japan
Show AbstractSemiconductor nanoparticles (NPs) are of strong interest for a wide range of applications including photovoltaic cells, light emitting devices, fluorescent tags for biomedical applications and electronic devices. Contrary to bulk semiconductors, the bandgap of semiconductor NPs can be controlled by varying their size which affects electron confinement. Among the different semiconductor materials, Si- based NPs may offer great opportunities originating from the unique interplay between quantum effects, surface states and direct/indirect transition dynamics.[1-3] The crystalline structure of these materials demands high synthesis temperatures that are not generally compatible with conventional wet chemistry. We present here an atmospheric-pressure plasma synthesis method that can overcome this problem at low temperature. The formation of crystalline Si NPs is possible at gas temperatures well below the crystallization threshold due to ion collisions in the plasma.[4] In addition, the controlled introduction of hydrogen species in the plasma together with the silane precursor generates NPs with a high degree of passivation that limits their oxidation.
Our plasma configuration consists of two flat electrodes and a glass rectangular capillary which allows for NPs film deposition. The configuration used offers the possibility of scaling up by increasing NPs throughput and the deposition surface area. Additionally, by using different precursors we have demonstrated the synthesis of other materials such as SiC NPs with no changes to the overall set-up. NPs films produced using this technique present high homogeneity and the film deposition can be undertaken on different types of substrates without any particular requirements. This has allowed us to produce NPs films for solar cell devices based on all-inorganic third generation architectures.
[1] D. Mariotti et al. Advanced Functional Materials 22, 954 (2012).
[2] V. Scaron;vr#269;ek et al. Applied Physics Letters 97, 161502 (2010).
[3] Mariotti D et al. Nanoscale 5, 1385, (2013).
[4] S. Askari et al. Applied Physics Letters 104, 163103 (2014).
9:00 AM - II5.21
Preparation of p-Type Cu2O/n-Type ZnO Heterostructures with Enhanced Photocatalytic Activity by Using a Facile Green Antisolvent Method
Hao-Yun Cheng 1 Yung-Jung Hsu 1
1Nation Chiao Tung University Hsinchu Taiwan
Show AbstractSemiconductor heterostructures, consisting of two or more components joined in unique architectures, have attracted immense scientific interest in recent years because they exhibit superior synergetic properties that are difficult to acquire from the individual components [1]. ZnO, one of the most extensively studied n-type semiconductors, has been widely used in photovoltaics, photocatalytic, and photoelectrochemical applications [2]. However, the large bandgap (Eg = 3.37 eV) prohibits ZnO from effective visible light absorption, which further limits its practical applicability. To extend light absorption range has thus been imperative for the advancement of ZnO. In this work, we developed an ionic liquid-based antisolvent process for the preparation of Cu2O/ZnO heterostructures. The antisolvent synthesis involved the dissolution of Cu2O and ZnO powders in the ionic liquid, followed by the injection of the reaction solution into the water which shows no solvation to Cu2O and ZnO. Due to the dramatic decrease in solubility, Cu2O and ZnO were precipitated and cooperatively grown, resulting in the formation of Cu2O/ZnO heterostructures. Because of the small bandgap (Eg = 2.17 eV), Cu2O can effectively sensitize ZnO to absorb visible light, which improves the overall light harvesting efficiency to enhance the photocatalytic activity. Furthermore, the combination of p-type Cu2O and n-type ZnO creates a p-n junction at the interface [3], which induces pronounced charge carrier separation to improve the photocatalytic performance. By virtue of the synergy between Cu2O and ZnO, the as-prepared Cu2O/ZnO heterostructures displayed significantly enhanced photocatalytic activity in the dye degradation under white light illumination.
9:00 AM - II5.22
Giant Modulation in the Luminescence of Amorphous Porous Silicon Nanoparticles
Jehad K. El Demellawi 1 Sahraoui Chaieb 1
1King Abdullah University of Science and Technology Thuwal Saudi Arabia
Show AbstractNano-silicon, a prime example of nanostructured materials where quantum or spatial confinement is the origin of its luminescence, comes either in an amorphous state or in a crystalline one. When broken into colloidal crystalline nanoparticles, the emission can be tuned across the visible spectrum only when their sizes vary via a painstaking filtration method that is hard to scale up and the yield is too low for technological applications. Bright tunable colloidal amorphous porous silicon nanostructures however have not been reported.
In this work, we achieved a 100-nm modulation of the emission of a novel material made of freestanding colloidal amorphous porous silicon nanostructures, throughout the visible spectrum, via band-gap engineering. The mechanism responsible for this tuning, which is independent of the size of the individual particles and their distribution, is in fact the result of a strain in the silicon-silicon bond angle, when the material is transformed from an amorphous porous into a glass distorting the molecular orbitals. This mechanism is also responsible for the amorphous to crystalline transformation of silicon. HRTEM, Raman spectroscopy, Photoluminescence as well as UV-Vis absorbance were used in this work to characterize this giant tuning and its physical origin.
9:00 AM - II5.23
Multi-Color Nanowire Photonic Crystal Laser Pixels
Ganapathi Subramania 1 Jeremy Wright 1 2 Sheng Liu 1 George Wang 1 Qiming Li 1 Alexander Benz 1 Daniel Koleske 1 Ping Lu 1 Hui-wen Xu 2 Luke Lester 3 Ting Luk 1 Igal Brener 1
1Sandia National Laboratories Albuquerque USA2University of New Mexico Albuquerque USA3Virginia Tech Blacksburg USA
Show AbstractEmerging applications such as solid-state lighting and display technologies require micro-scale vertically emitting lasers with controllable distinct lasing wavelengths and broad wavelength tunability arranged in desired geometrical patterns to form ‘‘super-pixels&’&’. Conventional edge-emitting lasers and current surface-emitting lasers do not produce a viable solution as they require abrupt changes in semiconductor bandgaps or cavity length. Here, we successfully address these challenges by introducing a new paradigm that extends the laser tuning range additively by employing multiple monolithically grown gain sections each with a different emission center wavelength. Using broad gain-bandwidth III-nitride multiple quantum well (MQW) heterostructures and a novel top-down nanowire photonic crystal nanofabrication we obtain single-mode lasing in the blue-violet spectral region(Sci.Rep,3,2982(2013)). This has a remarkable 60 nm of tuning (or 16% of the nominal centre wavelength) that is determined purely by the photonic crystal geometry. This approach can be extended to cover the entire visible spectrum.
Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy&’s National Nuclear Security Administration under contract DE-AC04-94AL85000.
9:00 AM - II5.24
Fabrication of Noble Metal and Semiconductor Heterostructures for Enhanced Photocatalysis
Ping Yang 1
1University of Jinan Jinan China
Show AbstractThe heterostructures of noble metal (e.g. silver and gold) and semiconductor (e.g. halide and TiO2) were fabricated by various chemical approaches. For example, Ag/AgCl necklace-like nano-heterostructures with an average diameter of 55 nm were prepared via a facile oxidation process in situ. Because of multiple crystalline Ag nanowires with lots of grain boundaries, uniform Ag/AgCl necklace-like heterostructures can be successfully obtained in the presence of poly(vinyl pyrrolidine) (PVP) molecules. Ag-TiOshy;shy;2 nanobelts were prepared in ethanolic solution contained silver nitrate (AgNO3) and sodium hydroxide (NaOH). Au-TiOshy;shy;2 nanobelts were obtained in chloroauric acid (HAuCl4) using sodium borohydride (NaBH4) as the reductant. The formation mechanism of the nano-heterostructures was discussed. These nano-heterostructures revealed enhanced photocatalysis properties. For Ag/AgCl necklace-like heterostructures, he molar ratio of Ag and AgCl plays an important role for the photocatalytic activity of the nano-heterostructures which can be simple tuned. As for noble metal nanoparticle-decorated TiO2 nanobelts, an enhanced photocatalytic activity was observd compared with pure TiO2 nanobelts, which is due to the fact that the Ag and Au nanoparticles on the surface of TiOshy;shy;2 nanobelts act as sinks for the photogenerated electrons and promote the separation of the electrons and holes.
9:00 AM - II5.25
Design of Hybrid Nanostructures for Photocatalysis via Surface and Interface Modulation
Yujie Xiong 1 Jun Jiang 1 Qun Zhang 1 Xiaojun Wu 1
1University of Science amp; Technology of China Hefei Anhui China
Show AbstractMastery over the surface of a nanocrystal enables control of its properties in molecular adsorption and activation, and enhances its usefulness for catalytic applications. On the other hand, hybrid systems based on semiconductors and noble metals may exhibit improved performance in photocatalysis such as water splitting, mainly determined by the efficiency in generating carriers. In the systems, perfect interface is certainly the key to efficient carrier separation and transport. Taken together, the surface and interface modulation holds the key to materials design for photocatalytic applications. Here, we will demonstrate several different approaches to designing nanocrystal-based systems with improved photocatalytic performance. For instance, a semiconductor-metal-graphene design has been implemented to efficiently extract photoexcited electrons through the graphene nanosheets, separating electron-hole pairs. Ultrafast spectroscopy characterizations exclusively demonstrate that the charge recombination occurring at interfacial defects can be substantially avoided, enabling superior efficiency in water splitting. It is anticipated that this series of works open a new window to rationally designing hybrid systems for photo-induced applications.
References:
1. Long, R.; Mao, K.; Ye, X.; Yan, W.; Huang, Y.; Wang, J.; Fu, Y.; Wang, X.; Wu, X.; Xie, Y.; Xiong, Y.* J. Am. Chem. Soc. 2013, 135, 3200.
2. Wang, L.; Ge, J.; Wang, A.; Deng, M.; Wang, X.; Bai, S.; Li, R.; Jiang, J.;* Zhang, Q.;* Luo, Y.; Xiong, Y.*, Angew. Chem. Int. Ed. 2014, 53, 5107.
3. Long, R.; Mao, K.; Gong, M.; Zhou, S.; Hu, J.; Zhi, M.; You, Y.; Bai, S.; Jiang, J.; Zhang, Q.;* Wu, X.;* Xiong, Y.*, Angew. Chem. Int. Ed. 2014, 53, 3205.
4. Bai, S.; Ge, J.; Wang, L.; Gong, M.; Deng, M.; Kong, Q.; Song, L.; Jiang, J.;* Zhang, Q.;* Luo, Y.; Xie, Y.; Xiong, Y.*, Adv. Mater. 2014, 10.1002/adma.201401817.
5. Li, R.; Hu, J.; Deng, M.; Wang, H.; Wang, X.; Hu, Y.; Jiang, H. L.; Jiang, J.;* Zhang, Q.;* Xie, Y.; Xiong, Y.*, Adv. Mater. 2014, 10.1002/adma.201400428.
9:00 AM - II5.26
Systematic Study of the Photoelectrochemical Performance of Ag2S QDs on SnO2, ZnO, and TiO2 Single-Crystal Substrates
Meghan Kern 1 Laurie King 1 Bruce Parkinson 1
1University of Wyoming Laramie USA
Show AbstractSilver sulfide (Ag2S) quantum dots (QDs) are a favorable material for application in solar cells due to the tunability of the band energetics across the n-IR, low toxicity, and ease of synthesis. Few studies have been conducted on the photoelectrochemical (PEC) performance of these materials making them an interesting material to characterize for this purpose. The Ag2S QD synthesis was based on the method reported by Jiang et al.1 This synthesis utilizes AgNO3 and mercaptoalkanoic acids (MAAs) as the precursors in ethylene glycol and is carried out in inert atmosphere at temperatures less than 200oC. We highlight our use of MAAs as the sulfur precursor because this allows for the direct preparation of QDs with the bifunctional linker molecule attached, thus alleviating the need to carry out complex and often irreproducible post-synthesis ligand exchange procedures. Two pronounced absorption peaks (800 nm and 1000 nm) were sequentially formed during the synthesis of Ag2S QDs with prolonged heating. QD growth was monitored by UV-vis absorption spectroscopy and further characterized via transmission electron microscopy (TEM). The as-synthesized QD suspensions were used to functionalize SnO2, ZnO, and TiO2 single-crystal substrates for use in PEC measurements in an effort to gain insight into the alignment of band energetics and surface chemistry between the QDs and substrates. Near-monolayer coverage was confirmed on all substrates using atomic force microscopy (AFM). The PEC performance was measured for QDs on all three substrates as a function of QD size, chain length of MAA sulfur precursor, and redox electrolyte.
1. Jiang, P., Zhu, C.-H., Zhang, Z.-L., Tian, Z.-Q., Pang, D.-W. "Water-soluble Ag2S quantum dots for near-infrared fluorescence imaging in-vivo" Biomaterials 2012, 33, 5130-5135.
9:00 AM - II5.27
Synthesis of N, S Codoped TiO2 with High Adsorptivity and Visible Light Photocatalytic Activity
Junho Chung 1 Su-Yeol Ryu 1 Yongjun Ahn 1 Jaewoo Chung 2 Seung-Yeop Kwak 1
1Seoul National University Seoul Korea (the Republic of)2Soongsil University Seoul Korea (the Republic of)
Show AbstractIt is well known that nitrogen and sulfur dopants efficiently enhance the visible light photocatalytic activity of TiO2. In this study, nitrogen and sulfur codoped TiO2 (NST) was fabricated by solvothermal method, and the role of dopants on the enhanced photocatalytic activity of NST was investigated. Crystallization of NST and formation of the anatase phase were observed by X-ray diffraction (XRD) spectra, and high resolution-transmittance electron microscopy (HR-TEM). X-ray photoelectron spectroscopy (XPS) analysis indicated that nitrogen and sulfur atoms substitute oxygen atom in the inside of TiO2. The contents of nitrogen and sulfur dopants are significantly decreased with crystallization of NST. As the thermal treatment progressed, sulfur atoms move from the oxygen site to the surface of NST and form sulfate group. However, total amount of sulfur dopants in NST is maintained. UV-Vis diffuse reflectance spectroscopy (DRS) reveals that absorbance of visible light is increased by nitrogen and sulfur dopants at oxygen site. The photocatalytic activities of NST were evaluated by the degradation of rhodamine B under visible light irradiation. As-synthesized NST and NST which was treated at low temperature shows high surface reaction rate and solution bulk reaction rate. Adsorptivity results of NSTs on methylene blue demonstrated that sulfur atom on the surface of TiO2 strongly affect the adsorptivity of NSTs. This result shows that NSTs has high visible light response and high adsorptivity simultaneously.
9:00 AM - II5.28
Insights into Reactivity of Different Planes of Fluorite Nanostructures: CeO2/Pt Nanohybrids for CO Oxidation
Nisha Singhania 2 Anumol E A 2 Giridhar Madras 1 Narayanan Ravishankar 2
1Indian Institute of Science Bangalore India2Indian Institute of Science Bangalore India
Show AbstractShape and size of oxide nanostructures are critical for controlling their properties. We have investigated the role of crystal shape on the CO oxidation behavior of CeO2. It has been proved theoretically that different planes have varying efficacy towards the mechanism. In this work we present the detailed surface reaction phenomenon in case of different nanoshapes of CeO2. CeO2 is preferred as support for various reactions because it possesses excellent redox property and oxygen storage capacity (OSC). These morphologies include rods (110+100 plane), cubes (100 plane) and octahedra (111 plane) synthesized hydrothermally. Platinum is the noble metal of choice here because it is stable for a wide range of temperature. Platinum was nucleated on the different morphologies using an ultrafast microwave heating method. We found using TEM that the particle nucleation is different for the morphologies. This provides an insight about the nucleation efficiency on the different shapes. XPS spectra before and after CO oxidation for the different Pt/CeO2 nanohybrids show different Ce3+: Ce4+ ratios indicating towards the superiority of rods over the other two shapes. FTIR studies revealed the presence of different carbonate species on these nanohybrids but the concentration of these carbonates was found to vary from rod to octahedra. The FTIR spectra after catalysis reveals the change in concentration of different carbonate species which was supposed to happen since the thermal stability of carbonates vary with temperature. Detailed CO oxidation studies have been carried out to validate the stability and reactivity of the nanohybrids.
9:00 AM - II5.29
Decorating ZnO 1D Nanostructures with Au Nanoparticles and Their Application for CO Oxidation
Nisha Singhania 2 Paromita Kundu 2 Giridhar Madras 1 Narayanan Ravishankar 2
1Indian Institute of Science Bangalore India2Indian Institute of Science Bangalore India
Show AbstractZnO is a semiconducting material with a direct bandgap of 3.37 eV and a large exciton binding energy. Because of these intrinsic features ZnO is of interest for various applications such as electrodes for solar cells, optoelectronic devices, gas sensors and as catalyst for various mechanisms. Here we present an ultrafast method to decorate 1-D ZnO nanorods with Au nanoparticles. The nucleation region, particle size and dispersion can be controlled by varying the concentration of Au precursor. The highlight of this work is the use of water as a solvent and reducing agent providing a green route for synthesis. We also investigated the thermodynamic and kinetic aspects of reduction of gold salt in water in the presence and absence of 1-D oxide support. We tested these nanohybrids for the CO oxidation mechanism and we observed that this catalyst is capable of converting CO into CO2 at even room temperature. These catalysts were characterized using TEM, XPS, PL in order to get insights about the behavior of the catalyst. We extended the study to understand the mechanism of CO oxidation on the catalyst by varying the loading of gold, the concentration of CO and oxygen in the feed and we found competitive mechanism taking place on the low loading stable catalyst.
9:00 AM - II5.30
Interface and Defect Engineering in Metal/Perovskite Nanohybrids for CO Oxidation
Nisha Singhania 1 Subhajit Kundu 1 Giridhar Madras 2 Narayanan Ravishankar 1
1Indian Institute of Science Bangalore India2Indian Institute of Science Bangalore India
Show AbstractABO3 type materials with the perovskite structure are useful for various applications such as catalysis, gas sensing and cathode material for solid oxide fuel cells (SOFC). The variation in A-type cation gives rise to change in electronic environment which has positive effect on some of these applications. Attachment of noble metal nanoparticles on these materials improves the efficiency for gas phase catalytic applications.Particularly, for CO oxidation it may lower the conversion temperature significantly. There are some reports where deposition of Pt nanoparticle is done using ALD technique. In this work, we present a detailed study of the nucleation behavior of different noble metals (NM =Au,Pt,Pd) on SrTiO3 nanocuboids synthesized solvothermally. An ultrafast microwave heating method is employed to nucleate the noble metal nanoparticles on these cuboids. This method is cost effective,fast and the particle growth can be controlled by changing reaction temperature and/or hold time.It is observed that under the same reaction conditions the number, size and dispersion of the different metal nanoparticles is different. These nanohybrids were tested for carbon monoxide oxidation. Effect of change in A-cation (A= Sr, Ba) on the defect concentration and its consequences are studied using STEM, XPS, H2-TPR and DRIFT and PL measurements.The samples were prepared from as-synthesized solution, sample heated for 2 and 24 hours. These batches of samples were characterized extensively in order to get insight into the CO oxidation mechanism. XPS analysis reveals the variation in the concentration of different species of oxygen and these species were found to play significant role in catalysis.
9:00 AM - II5.31
PbS/CdS Core/Shell Nanocrystals for Solution-Processed Colloidal Quantum Dot Solar Cells
Darren Chi Jin Neo 1 Cheng Cheng 1 Hazel E. Assender 1 Andrew A. R. Watt 1
1University of Oxford Oxford United Kingdom
Show AbstractColloidal quantum dots (CQD) are one of many materials under investigation for use in low-cost, solution processed photovoltaic devices. CQD solar cells have been shown to have the potential to realize efficiencies beyond the Shockley-Quessier limit using quantum phenomena. However, their performance still lags behind other competing technologies due in part to a low open-circuit voltage. To resolve this issue, an improvement of charge transport and charge collection efficacy is needed. In this paper, we focus on enhancing defect mediation in CQD systems using a combination of passivation methods. Using cation-exchange lead sulfide/cadmium sulfide (PbS/CdS) core/shell CQD are synthesized to form a type I heterostructure. The PbS nanocrystal surface is effectively passivated by the formation of covalent bonds with the wider band-gap material CdS. We investigate the effect of altering the shell thickness on devices fabricated via an air-ambient layer-by-layer spin coating method. Our preliminary results show a marked improvement of open-circuit voltages as compared to devices made with unshelled PbS, which we attribute to a reduction in recombination. However, short-circuit currents are comparatively lower compared to PbS controls due to impeded charge transport which is a result of the shell&’s confinement potential. Following on, we demonstrate that this transport barrier can be relaxed by the use of halide ligands which would change the energy levels of the shell material. This enables us to boost the device short-circuit current without compromising the effect of shell passivation. Our champion cell has an efficiency of 6% and a Voc of 0.65V, which is a substantial improvement for PbS CQD solar cell devices with band gap of 1.3eV.
9:00 AM - II5.32
Effect of Photoluminescent Indium Nitride Nanocrystals on the Performance of a-Si:H Solar Cell
Farsad Imtiaz Chowdhury 1 Kazi Islam 1 Sabri Alkis 2 Vikas Kumar 1 Buelend Ortac 2 Mustafa Alevli 3 Nikolaus Dietz 4 Ali Kemal Okyay 2 5 Ammar Nayfeh 1
1Masdar Institute of Sci. and Tech. Masdar City United Arab Emirates2Bilkent University Ankara Turkey3Marmara University Istanbul Turkey4Georgia State University Atlanta USA5Bilkent University Ankara Turkey
Show AbstractPhotoluminescent semiconductor nanoparticles are an attractive way to improve the performance of thin film solar cells. These nanoparticles allow the absorption of photons of higher energy and emission of photons of lower energy which can be absorbed by solar cell thus enhancing its performance. Recently, Si nanoparticles in polycrystalline silicon solar cell has been studied which shows a power enhancement of 60% in the ultraviolet (UV)/blue range [1]. In this work, the effect of luminescent indium nitride nanocrystals (InN-NCs) spin coated on top of thin film a-Si:H solar cells is studied.
The generation of InN-NCs was carried out using a nanosecond pulsed ND:YLF laser. The laser beam was focused on high-pressure chemical vapor deposition (HPCVD) grown InN sample [2] target containing 20 ml pure ethanol using a plano-convex lens with a focal length of 50 mm. The height of liquid layer over the InN target was 5 mm. The laser ablation was carried out for 5 minutes [2]. InN-NCs are spherical and are within 3.24-36 nm size range with an average size of 16 nm. After that, a-Si:H solar cells were fabricated which is a stack of 20 nm heavily doped p-type a-Si, 100 nm undoped a-Si, 20 nm heavily doped n-type a-Si and 80 nm Tin doped Indium Oxide (ITO) is grown on a p+ type Si substrate. The n+, p+ and intrinsic layers were grown using the plasma enhanced chemical vapor deposition (PECVD) tool. ITO with a thickness of 80 nm was sputtered afterwards using the RF sputtering tool [3]. InN-NCs in ethanol solution were then spin coated on top of ITO. Silver was deposited afterwards using electron-beam evaporator tool and lift off technique was used to form the contacts. The average short circuit current density (Jsc) of InN-NCs coated cells is found to be 6.19 mA/cm2 which is 6.91% higher than the reference cells which has an average Jsc of 5.79 mA/cm2. And the average efficiency of InN-NCs coated cells is 3.46% which is 4.22% higher than the average efficiency of the reference cells which is 3.32%. The fabricated cell with InN-NCs also show an improved spectral response compared to reference cell. The peak EQE is 49.1% at 510 nm for the cell with InN-NCs while the reference cell has 44.8% peak EQE at 500 nm.
In summary, a-Si:H solar cells with InN-NCs are fabricated and characterized. The InN-NC&’s enhance the performance of the a-Si:H solar cells. The results highlight an exciting use of nanotechnology for performance enhancement of future thin film a-Si:H solar cells.
[1] Stupca, M., et. al. “Enhancement of polycrystalline silicon solar cells using ultrathin films of silicon nanoparticle.” Applied Physics Letters 91 (2007):063107-063109.
[2] Alkis, S., et. al. “Generation of InN nanocrystals in organic solution through laser ablation of high pressure chemical vapor deposition-grown InN thin film.” Journal of Nanoparticle Research 14 (2012):1048-1053.
[3] http://www.mvsystemsinc.com (Accessed 02.05.2014).
9:00 AM - II5.33
Efficiency Enhancement of Thin Film c-Si HIT Cells Using Plasmonic Gold Nanoparticles
Farsad Imtiaz Chowdhury 1 Kazi Islam 1 Aaesha Alnuaimi 1 Ammar Nayfeh 1
1Masdar Institute of Science and Technology Masdar City United Arab Emirates
Show AbstractThin film solar cells have gained a lot of interest recently due the potential cost reduction they offer. However, they still suffer from lower generated current due to thinner absorber layers [1]. Thus effective light trapping scheme is important to create thin yet efficient solar cells. Plasmonic solar cells, where metal nanoparticles (NPs) are used to scatter light in the absorber layer, are of great interest as they are easy to fabricate and do not interfere with cell processing. In this work, the effect of plasmonic gold (Au) NPs on 4 mu;m thin-film c-Si HIT solar cells has been experimentally studied.
First, epitaxial Si layer of 4 µm was grown on the p+ substrate using low pressure chemical vapor deposition (LPCVD) with nominal boron concentration of ~1x1016 cm-3. The layers were then capped with 5 nm undoped a-Si followed by 15 nm n+ doped a-Si using plasma enhanced chemical vapor deposition (PECVD). Finally ITO was sputtered on top of the device [2]. Metal lithography was done afterwards to define the silver finger contacts. After that, Au NPs were spin-coated on top of the cells. 80 nm Au NPs were first considered for spin coating. The spin coating run was varied and an improvement in short circuit current density (Jsc) was observed for solar cells spin coated 3 times with 80 nm Au NPs. This means after 3 spin coating runs, the surface coverage of 80 nm Au NPs reaches an optimum stage that supports the plasmonic effect. The Voc remains constant at 0.58 V while compared to reference cell, the Jsc improves on average from 20.35 mA/cm2 to 21. 05 mA/cm2 for cell spin coated 3 times with 80 nm Au NPs. The fabricated cell with Au NPs also show an improved spectral response. Compared to reference cell, the peak EQE increases ~1.39% at 530 nm for the cell spin coated 3 times with Au NPs. The spin coating run with 80 nm Au NPs was increased and an average Jsc of 21.79 mA/cm2 and 21.89 mA/cm2 were recorded for 5 and 7 spin coating runs respectively. After that 200 nm Au NPs were spin coated on the existing cells which had 80 nm Au NPs already. An average Jsc of 22.24 mA/cm2 was recorded for the cells that have both 80 nm and 200 nm Au NPs which is higher than the cells that have 80 nm Au NPs only. Compared to reference cell, the peak EQE increases ~4.17% at 530 nm for the cell spin coated with both 80 nm and 200 nm Au NPs. 80 nm and 200 nm of Au NPs have resonance at different wavelengths and a mixture of these two NPs can scatter light more efficiently, which is the main reason for this enhanced solar cell performance.
In summary c-Si HIT solar cells with Au NPs are fabricated and characterized. The Au NPs improve the performance of the c-Si solar cells. The results highlight an exciting and promising use of nanotechnology for performance enhancement of future c-Si thin film solar cells.
[1] Beaucarne, G., et. al. "Epitaxial thin-film Si solar cells,"Thin Solid Films 511-512 (2006):533-542.
[2] http://www.mvsystemsinc.com (Accessed 02.05.2014).
9:00 AM - II5.34
Activity and Stability of Ni Co-Catalyst on CdS Photocatalysts under Sulfurized Condition
Kousuke Ito 1 Shun Yokoyama 1 Hideyuki Takahashi 1 Kazuyuki Tohji 1
1Tohoku University Sendai Japan
Show AbstractPhotocatalytic H2 production using solar light irradiation has long been studied because of its potential of clean energy conversion. In particular, Cadmium sulfide (CdS)-based photocatalysts have been widely investigated since electron-hole pair can be produced under visible-light irradiation. Until now, we also reported that photocatalysts with specific morphology, called as stratified photocatalysts (s-CdS), showed extremely high catalytic activities. However, almost all CdS-based photocatalysts needs the loading of noble metals, such as Pt or Ru, as co-catalysts, to show high photocatalytic activity. Here, it is needless to say that the use of their metals drastically increases the total cost of the photocatalytic hydrogen production systems. Most easy method to decrease the costs is using of transition metal as co-catalyst, while these metals will sulfurized and deactivated under sulfurized condition which need to the stable use of sulfide type semiconductor materials, such as CdS. Therefore, in this study, relationship between activities and anti-sulfurized properties/morphologies of transition metal compounds on CdS photocatalysts as co-catalyst was evaluated. Ni was selected as co-catalyst. Ni/s-CdS was synthesized by liquid-phase reductive deposition method . Crystallinity and morphology of Ni species were tried to control by controlling the reduction rate. A part of the activation site of Ni species on the surface of photocatalysts was covered by quite low amount of Pt using photo-deposition method (Pt/Ni/s-CdS). For comparison, Pt/s-CdS photocatalysts was also synthesized. Photocatalytic activity was evaluated through the amount of H2 production from the Na2S solution under irradiation of Visible light. The morphology and deposition condition was evaluated by XRD, STEM, and EDX. STEM and EDX results showed that Ni nanoparticles were successfully deposited on photocatalysts surface, and also showed that Pt was deposits on Ni surface in Pt/Ni/s-CdS samples. The amount of H2 production is 0.2ml/h (Pt/Ni/s-CdS) and 6.2ml/h (Pt/s-CdS), respectively. If Pt was added onto s-CdS surface, each activity become same. Thus, in this case, Pt was loaded on Ni surface. Taking the fact that activity of Pt/Ni/s-CdS was lower than Pt/s-CdS into consideration, it can be considered that low activities of Pt/Ni/s-CdS were originated to the undesirable condition of Ni, such as low crystallinity. Therefore, Pt/Ni/s-CdS was heat treated at 2000C and 5000C. As the results, photocatalytic activity was increased from 0.2ml/h (2000C) to 3.2ml/h (5000C) Moreover, the photocatalytic activity has no deactivation during measurement. These results indicated that de-activation of active site of transition metal co-catalysts surface is effective for anti-sulfurized properties/morphologies under sulfurized conditions. Other results will reported in our presentation. Part of this work has been supported by the Grant-in-Aid for Scientific Research (B) (No. 26281054).
9:00 AM - II5.35
Absorption Enhancement in Inverted Bulk-Heterojunction Organic Photovoltaic Devices Employing Plasmonic Electrodes with Varying Metals
Christopher Eugene Petoukhoff 1 Deirdre Marie O'Carroll 1 2
1Rutgers University Piscataway USA2Rutgers University Piscataway USA
Show AbstractPlasmonic nanostructures (i.e., nanostructures composed of low-loss metals such as Ag, Au, and Cu) have been employed on the back electrode of organic photovoltaics (OPVs) in order to enhance the absorption in the active layer, thereby enhancing the total power conversion efficiency. In a conventional OPV, typically Al or Ag are used as the metallic electrodes due to their high reflectivity across the visible spectrum. When plasmonic nanostructures are incorporated into OPVs, typically Ag or Au nanostructures are used due to their low loss. For inverted OPVs, in which a high workfunction metal (e.g., Au, Cu, Ni) is required as the anode rather than a low workfunction metal as the cathode, it is desirable to employ nanostructured metal (i.e., “plasmonic”) electrodes consisting of metals other than Ag and Al. Here, we have computationally investigated the effects of plasmonic electrodes on the absorption enhancement in OPV devices based on a blend of poly(3-hexylthiophene):phenyl-C61-butyric acid methyl ester (P3HT:PCBM). We have studied a range of different metals (Ag, Au, Cu, Al, Ni, and Ca) for the plasmonic electrode in order to investigate whether higher workfunction metal nanostructures such as Au, Cu, and Ni can lead to enhanced optical absorption in the P3HT:PCBM film.
The plasmonic electrodes described here consisted of an optically thick metal film containing a periodic array of metal nanoparticles (NPs) on the surface of the metal film. The identity of the metal NPs and metal film was identical (e.g., AgNPs/Ag film, CuNPs/Cu film), and the nanoparticles were square-packed with a paraboloidal geometry. We fixed the NP height at 60 nm, which is within the two optimal active layer thicknesses (~80 nm and ~200 nm) based on planar OPVs, and varied the base diameter from 180 nm to 12 nm, giving aspect ratios (ARs), or height to base diameter ratios of 0.33 to 5.0. Metals with higher loss in the visible regime (i.e., Al, Ni, and Ca) had spatially integrated absorption enhancement factors (EFs) relative to planar metal electrodes that were less than 1 at all wavelengths (350 - 800 nm) and for all ARs, indicating that the absorption was reduced upon addition of lossy metal NPs. For the less lossy metals (i.e., Ag, Au, and Cu) the spatially integrated EFs were greater than 1 for wavelengths larger than 600 nm, and were as large as ~1.6 at ~650 nm for ARs le; 0.5, which we have attributed primarily to the greater albedo of the low AR NPs. In the region just above the tip of the NPs, spectrally integrated EFs can be as large as ~1.2, with a maximum EF of ~2.1 at ~650 nm for plasmonic Ag electrodes relative to planar Ag electrodes. We believe that the EF could be made larger by further optimizing the nanostructure geometry (height, packing density, pitch, etc.), particularly for plasmonic Cu electrodes, which have a balance between high workfunction, relatively low loss in the visible regime, and relatively low cost (compared to Ag and Au).
9:00 AM - II5.36
Confined Low-Frequency Acoustic Phonon Modes in Semiconductor Quantum Dots
Anna Jolene Mork 1 William A. Tisdale 2
1Massachusetts Institute of Technology Cambridge USA2Massachusetts Institute of Technology Cambridge USA
Show AbstractA variety of phonon-mediated processes centrally contribute to both heat dissipation as well as electronic relaxation in colloidal quantum dot (QD) solids. We use low-frequency non-resonant Raman spectroscopy to probe the acoustic phonon vibrational structure of QDs and observe confined acoustic modes with size-dependent energies. The low-frequency Raman spectrum of core-shell QD nanostructures contains features corresponding to phonons in both the core and shell. We explore the effect of the shell composition and thickness on the vibrational frequencies of core-shell nanostructures, and develop a mathematical model to understand the observed behavior. These data help to further our understanding of how QD structure and alloying affect phonon-assisted excitonic relaxation processes including Auger recombination, as well as fundamental vibrational energy transport through QD solids.
9:00 AM - II5.37
Silicon-Based White Light Emitting Diodes
Naoto Shirahata 2 1 Batu Ghosh 2
1JST-PRESTO Saitama Japan2National Institute for Materials Science Tsukuba Japan
Show AbstractA novel design of white light emitting diodes (WLEDs) emerges to meet the growing global demand for resource sustainability while preserving health and environment. To achieve this goal, we develop a facile method for chemical synthesis of luminescent silicon nanocrystal (ncSi) with a large Stokes shift between absorption and emission. Specifically, the red-color luminescent ncSi-OD was prepared by the improved disproportional reaction of triethoxysilane-derived sol-gel glasses under nitrogen atmosphere followed by hydrosilylation of 1-alkenes. The WLED is prepared by a simple spin-coating method, and contains a hybrid-bilayer of the ncSi and luminescent polymer in its device active region. Interestingly, a well-controlled ultrathin ncSi layer on the polymer makes possible to recombine electrons and holes in the both layers, respectively. Combining red and blue-green lights, emitted from the ncSi and the polymer layers respectively, produces the emission of white electroluminescence. The another advantage of our device is a low turn-on voltage for its operation. ZnO worked as a good inorganic stable n-type electron injecting layer, and also served as a good hole blocker. Herein, we demonstrate a hybrid-WLED with a sufficiently low turn-on voltage (3.5V) produced by taking advantages of the large Stokes shift inherent to ncSi. The present work discloses at the first time WLED with the earth abundant Si avoiding toxic materials. The proposed design of devices offers a potential to produce a quasi spectrum of sunlight.
9:00 AM - II5.38
Enhanced Electrochemical Performance of Ag Encapsulated Coaxial Carbon Nanofibers as Free-Standing Anodes in Li-Ion Batteries
Shilpa , 1 Ashutosh Sharma 1
1Indian Institute of Technology Kanpur Kanpur India
Show AbstractSilver with a high theoretical capacity for lithium storage is an attractive alloy based anode for Li-ion batteries. However, high cost of silver and large volume changes associated with AgLix alloy formation during charge/discharge cycles lead to electrode pulverization and rapid capacity fading, restricting its practical application. Designing nano-dimensioned core-shell architectures with active metal encapsulated within a core is a novel and effective approach to mitigate the mechanical cracking process in electrodes. In this work, we demonstrate a simple and inexpensive one-step method for fabricating a core-shell structured Ag-C hybrid electrode for a high energy/power density and long cycle-life lithium ion battery. A thin mat (~ 60 µm) composed of hollow one-dimensional carbon nanofibers (diameter~ 300 nm and shell thickness ~20nm) encapsulating Ag nanoparticles in their void core region has been obtained through coaxial electrospinning followed by calcination and carbonization procedures. The nanofiber mat being mechanically stable and electrically conducting is used as a free-standing electrode dispensing off all the inactive components, ie, the binder, conductive additive and the copper current collector which only add to the battery weight and volume. The Ag-C composite has been characterized by SEM, TEM, XRD and BET methods. The electrochemical performance of the free-standing Ag-C electrode is evaluated by galvanostatic charge-discharge measurements at different current densities, cyclic voltammetry and impedance spectroscopy. The electrode delivers a reversible capacity of 739 mAh/g (more than twice that of conventional carbon anodes) at a current density of 50 mA/g, showing almost 82 % capacity retention after 100 cycles. The composite also exhibits an excellent rate performance delivering a capacity of ~ 399.1 mAh/g at a high current rate of 500mA/g.
9:00 AM - II5.39
Fabrication of Free-Standing Core-Shell Structured ZnO-Graphene Composite for High Performance Li-Ion Batteries
Shilpa 1 B M Basavaraja 1 P Padhiya 2 S B Majumder 2 Ashutosh Sharma 1
1Indian Institute of Technology Kanpur Kanpur India2Indian Institute of Technology Kharagpur Kharagpur India
Show AbstractZinc Oxide with a high theoretical capacity for Li storage is a potential anode substitute for next generation Li-ion batteries. However, it undergoes huge volume changes during charge/discharge resulting in pulverization of the electrode within a few cycles, making it unsuitable to be used as a commercial anode in its pristine form. Incorporating ZnO in a nanostructured flexible carbon matrix having sufficient void space around ZnO particles can help in buffering the volume changes and thus is an efficient way to mitigate the pulverization process. In this work, a core-shell structured novel architecture encapsulating ZnO nanoparticles in the interior of a hollow carbon/Graphene framework is fabricated through calcination and subsequent carbonization of co-axially electrospun nanofibers. This mechanically stable nanofiber structure has been used directly as a free-standing anode (~ 100 µm thick) without binder and the current collector which is otherwise inactive and only add to the battery mass and volume. The ZnO-Graphene nanofiber composite (ZnO-C-RGO) has been characterized by SEM, TEM, RAMAN and XRD techniques. The electrochemical performance of the composite is studied by galvanostatic charge-discharge measurements at different current densities, slow scan cyclic voltammetry and the impedance measurements. Incorporation of a graphene network into the nanofiber shell enhances the electrical conductivity of the mat electrode resulting in faster electron kinetics and hence improved rate capability. The interior void space combined with the mechanical strength and flexibility of the graphene/carbon shell acts as a structural buffer effectively relieving the volumetric stresses generated during charge/discharge cycles, which eventually prevent disintegration of the electrode material. Also, the additional lithium active sites provided by graphene leads to a higher capacity of the composite. The synergistic effect of the metal oxide, graphene and the core/shell design, results in a high capacity of 815mAh/g at a current density of 50mA/g with a capacity fade of around 24 % after 100 cycles which is an improvement over the earlier results.
II3: Coupled Particles
Session Chairs
Garnett Bryant
Matthew Pelton
Tuesday AM, December 02, 2014
Hynes, Level 2, Room 210
10:00 AM - II3.01
Near-Field Light Design with Colloidal Quantum Dots
Stephan Kress 1 Patrizia Richner 2 Felipe Antolinez 1 Sriharsha Jayanti 1 David Kim 1 Patrick Galliker 2 Dimos Poulikakos 2 David Norris 1
1ETH Zurich Zurich Switzerland2ETH Zurich Zurich Switzerland
Show AbstractColloidal quantum dots are bright, tunable dipolar emitters. These characteristics make quantum dots ideal for studying near-field interactions. However, their colloidal nature hinders their facile and precise placement into arbitrary near-field locations, particularly on structured substrates. Here, we use a deep sub-diffraction (~100 nm) printing technique that allows the precise placement (few nm) of a countable number of quantum dots on both flat and structured substrates. Exploiting this control we design diffraction-limited photonic and plasmonic sources with arbitrary wavelength, shape, and intensity. We show that unfocused, far-field illumination can excite these near-field sources and generate fundamental plasmonic wavepatterns (plane and spherical waves) on flat substrates. When we integrate the same sources on structured substrates, such as a plasmonic waveguide, we find selective and efficient excitation of a single, sub-diffraction, non-radiative waveguide mode. Such far-field, sub-diffraction, and selective generation of single-plasmon modes should not only help us understand QD-plasmonic systems but also aid in exploring the fundamental physics involved in the emerging field of quantum plasmonics.
10:15 AM - II3.02
Control of Exciton-Plasmon Coupling in Quantum Dot-Metallic Nanoparticle Systems Using Plasmonic Template
Seyed Sadeghi 1 Brady Hood 1 Robert West 1 Kira Patty 1 Ali Hatef 3 Chuanbin Mao 2
1University of Alabama in Huntsville Huntsville USA2University of Oklahoma Norman USA3Nipissing University North Bay Canada
Show AbstractWe used a plasmonic template consisting of self-organized arrays of gold nanoislands with continuous size variation to spatially control exciton-plasmon coupling in semiconductor quantum dot-metallic nanoparticle systems. Utilizing this template we studied how competition between plasmonic field enhancement and Forster resonance energy transfer (FRET) from the quantum dots to the metallic nanoparticles influence fluorescence of the quantum dots. Our results provide a detailed, systematic account of how plasmonic enhancement of quantum dot emission is related to the absorption, scattering, and field enhancement of the metallic nanoparticles as their sizes are changed in quasi continuous way from about 100 nm to about zero (region with no metallic nanoparticles) in a single substrate. These results also reveal how the excitation intensity influences these competing processes.
10:30 AM - II3.03
Exploiting Plasmon Coupling to Induce Multi-Exciton Quantum Dot Emission near Nanostructured Gold
Gaurav Singh 1 Andrew Tobias 1 Jose Castaneda 1 Marcus Jones 1
1UNC Charlotte Charlotte USA
Show AbstractThe ability to generate and utilize multi-excited states in colloidal quantum dots (QDs) is key to a growing range of QD technologies. Studying multiexciton fluorescence dynamics in QDs is, however, often very difficult due to fast non-radiative Auger recombination. Recent work in our group has demonstrated that gold nanostructures, which are able to collect and focus light over very small length scales, can effectively switch on multiexciton emission when positioned in proximity to QDs. This effect has previously been observed for single excitations in QDs and molecules, but the ability to manipulate emission and absorption cross sections of multiply excited states using gold could have some important implications for a range of technologies and is leading to new insights about the dynamics of multi-excited states in QDs.
In this seminar I will discuss recent single particle fluorescence data that show dramatic enhancement of biexciton emission in the presence of nanostructured gold. Some of the factors that control the magnitude of this plasmonic coupling will be illustrated and the implications for optoelectronic devices will be discussed. Extending these measurements to ensemble samples has been made possible using a new multipulse fluorescence experiment that enables us to distinguish biexciton and trion emission from exciton emission. I will demonstrate this technique and illustrate its use to selectively probe multiexciton emission enhancement in a range of QD-gold structures. We will discuss the distance dependence of this interaction and present evidence that suggests the plasmonic coupling with multiexcitons is stronger than coupling with excitons.
10:45 AM - II3.04
Plasmonic Enhancement of Surface-State Recombination in CdSe Nanocrystals for Multicolored Emission
Sriharsha V. Jayanti 2 1 Martin Baldinger 2 Vincent C. Holmberg 2 Kevin McPeak 2 David K. Kim 2 Stephan Kress 2 David J. Norris 2
1University of Minnesota Minneapolis USA2ETH Zurich Zurich Switzerland
Show AbstractThe surface of CdSe colloidal nanocrystals plays a significant role in determining their optical properties. Previous calculations and experiments have suggested the presence of optically active surface states in the band gap, which lead to the so-called “deep-trap” emission. However, only a few studies have sought to exploit this surface-related emission for optical applications. These studies have been primarily limited to extremely small nanocrystals, for which the deep-trap emission is significant at room temperature. Moreover, these works lacked control over the spectra and the intensities of the surface-state emission. In this work, we tailored plasmonic structures to strongly enhance and tune the surface-state emission of standard CdSe nanocrystals. The large Purcell factors in plasmonic hotspots allowed us to overcome the previously observed low quantum efficiencies of surface-related emission in “core-only” nanocrystals. Also, by tuning the plasmonic resonance, we could control the emission spectrum to obtain broadband or dual-colored emission. Broadband emission was obtained by depositing nanocrystals on rough silver films, which exhibit broad plasmonic resonances. In particular, nanocrystals with a blue-green band-edge state showed white-light emission. On the other hand, by placing CdSe nanocrystals in nanoscale plasmonic hotspots that have narrow resonances, we obtained dual-colored emission. Specifically, we tuned the surface plasmon polariton resonance and, hence, the emission wavelengths of the surface states, by depositing the nanocrystals on silver nanohole arrays with various periodicities. Because these effects depend on where the nanocrystals are placed on the metal film, the same nanocrystals could be used to generate various colors on the same substrate. This leads to new possibilities for semiconductor nanocrystals in light-emitting devices and plasmonic circuits.
11:30 AM - *II3.05
Colloidal Quantum Dot Solar Cells: Tailoring Properties from the Single Nanocrystal to the Supra-Nanocrystalline Level
Gerasimos Konstantatos 1
1ICFO Castelldefeles, Barcelona Spain
Show AbstractThis talk will consist of 3 main thrusts:
1. We will introduce the bulk nanoheterojucntion as a novel promising architectural platfrom for solution processed inorganic nanocrystalline solar cells [1,2]. The effect of the local electronic environment of the QDs will be outlines with respect to the optoelectronic properties of the QDs and the reflections this has in actual PV device performance. We will show how this may transform the cell from the trap-assisted recombination regime to the band-to-band recombination regime, approaching theoretically maximum achievable Voc in these structures[2].
2. A novel approach towards robust active electronic doping of QDs for QD homojunction solar cells based on cation heterovalent doping will be discussed. Unlike previous approaches that have relied on stoichiometrical control of doping in binary PbS QDs we will show that heterovalent doping may open new ways of tailoring the electronic properties of the QDs and demonstrate air-robust PbS QD homojunctions[3].
3. CQD solar cells could be greatly benefited by efficient integration with sophisticated light coupling schemes, in view of their short carrier diffusion lenghts. I will present two approahces in this direction, the first based on a photonic grating formed by a soft-imprinted nanostructured electrode paving the way towards seamless integration of photonic electrodes using low cost large area nanofabrication techniques [4]. I will then present a plasmonic-based approach, in which much stronger light-matter interactions take place and show that coupling of SPP modes into low-mode density thin film absorbers makes an effective way of light coupling for ultra-thin film absorbers. The achievement of external quantum efficiency in excess of 50% at the exciton peak from a 100 nm QD thin film is now within reach following this methodology[5].
I will conclude my talk discussing some recent progress on the development of alternative colloidal nanocrystals that are free from toxic heavy metals and possess favorable properties of low-cost environmentally friendy PV, with preliminary promising performances of power conversion efficiency in excess of 3%.
References:
1. Solution-processed inorganic bulk nano-heterojunctions and their application to solar cells
Nature Photon. 6, 529-534 (2012)
2. Remote trap passivation in colloidal quantum dot bulk nano-heterojunctions and its effect in solution-processed solar cells
A. K. Rath, F. P. Garcia de Arquer, A. Stavrinadis, T. Lasanta, M. Bernechea, S. L. Diedenhofen, G. Konstantatos
Adv. Mater. [online DOI: 10.1002/adma.201400297] (2014)
3. Heterovalent cation substitutional doping for quantum dot homojunction solar cells
Nature Commun. 4, 2981 (2013)
4. Imprinted electrodes for enhanced light trapping in solution processed solar cells
Adv. Mat. 26, 443-448 (2014)
5. Surface plasmon polariton couplers for light trapping in thin-film absorbers and their application to colloidal quantum dot optoelectronics, submitted.
12:00 PM - II3.06
Efficient Charge Transport through Weakly-Coupled CdSe Nanocrystals
Daniele Braga 1 David K. Kim 1 Vincent C. Holmberg 1 Eric Wong 2 Sriharsha V. Jayanti 1 Benjamin T. Diroll 3 Soong J. Oh 2 Christopher B. Murray 3 Cherie R. Kagan 2 3 David J. Norris 1
1ETH Zamp;#252;rich, Mechanical and Process Engineering Zamp;#252;rich Switzerland2University of Pennsylvania Philadelphia USA3University of Pennsylvania Philadelphia USA
Show AbstractColloidal nanocrystals exhibit unique size-dependent optical and electrical properties that can be integrated into different optoelectronic devices such as light-emitting diodes and solar cells. A delicate balance between electron confinement in individual nanocrystals and charge transport between neighboring nanocrystals in a film determines the efficiency of these devices. Ideally, electronic conduction in the nanocrystal thin film should be maximized while preserving the quantum-confined optical properties of the nanocrystals.
Here, we address this problem by demonstrating a simple low-temperature, wet-chemical protocol to enrich the surface of CdSe colloidal nanocrystals with inorganic cadmium salts to yield highly conductive thin films that still exhibit strong optical confinement. After ligand exchange, passivation of the crystal surface, and mild thermal annealing, these nanocrystal films are luminescent and show no evidence of necking or sintering, which was confirmed by X-ray scattering and transmission electron microscopy. Successful surface enrichment was demonstrated through Fourier-transform infrared spectroscopy, X-ray photoelectron spectroscopy, and energy dispersive spectroscopy. Back-gated thin-film transistors (TFTs) based on cadmium-enriched CdSe nanocrystals show remarkably steep subthreshold slopes, low hysteresis, and electron mobility as high as 0.3 cm2/Vs at room temperature, indicative of effective surface-trap passivation. By analyzing the temperature-dependence of the transistor characteristics in a four-probe configuration, the specific hopping regime for pristine and Ag-doped CdSe nanocrystals of different nanocrystal sizes was investigated.
12:15 PM - II3.07
Intermediate Stages in the Formation of 2D Honeycomb Structures by Oriented Attachment of Nanocrystals
Carlo van Overbeek 1 Jaco Geuchies 1 Joep Peters 1 Wiel Evers 2 Freddy Rabouw 1 Jan Hilhorst 3 Anjan Gantapara 4 Majolein Dijkstra 4 Daniel Vanmaekelbergh 1
1Utrecht University Utrecht Netherlands2Kavli Institute Delft Netherlands3ESRF Grenoble France4Utrecht University Utrecht Netherlands
Show AbstractThe current interest in materials with a 2D honeycomb geometry is due to their unique optoelectronic properties. We realized semiconductors with this geometry by oriented attachment of colloidal nanocrystals; the resulting superstructures are atomically coherent over hundreds of nanometers and have a superimposed nanoperiodicity. [1,3] Kalesaki et al. performed tight-binding calculations which predict that these materials have the conventional band gap of a semiconductor, however with Dirac cones for the conduction electrons and valence holes. [2]
A remaining question is how hundreds of nanocrystals can form highly ordered superstructures through a non-reversible process like oriented attachment. With in situ GISAXS/WAXS measurements, temporal evolution TEM experiments and Monte Carlo simulations we observed 2D phases with unusual nanocrystal and long-range atomic ordering, yet with the nanocrystals unbound. We propose that such phases are the intermediate phases before covalent facet-to-facet binding occurs.
References
1. W.H. Evers et al; Low-dimensional semiconductor superlattices formed by geometric control over nanocrystal attachment. Nano Lett. 13, 2317-2323 (2013). doi:10.1021/nl303322k
2. E. Kalesaki et al; Dirac Cones, Topological Edge States, and Nontrivial Flat Bands in Two-Dimensional Semiconductors with a Honeycomb Nanogeometry. Phys. Rev. X4, 011010 (2014). doi:10.1103/PhysRevX.4.011010
3. M.P. Boneschanscher et al; Long-range orientation and atomic attachment of nanocrystals in 2D honeycomb superlattices. Science, Accepted (2014). doi:10.1126/science.1252642
12:30 PM - *II3.08
The Chemical Design of Multi-Component Nanocrystal Superlattices and Nanocrystal Based Thin Film Devices
Christopher Bruce Murray 2 3 Xingchen Ye 2 4 Taejong Paik 2 3 Benjamin T Diroll 2 Elizabeth Ashley Gaulding 3 Matteo Cargnello 2 1 Earl Goodwin 2 Song-Ju Oh 3 Cherie R Kagan 5 3 2
1University of Pennsylvania Philadelphia USA2University of Pennsylvania Philadephia USA3University of Pennsylvania Philadelphia USA4University of California at Berkeley Berkeley USA5University of Pennsylvania Philadelphia USA
Show AbstractThe assembly of colloidal semiconductor nanocrystals (NCs) superlattices can be programmed precisely by the control of nanocyrstal size, shape, and surface passivation. These NCs are often decribed as "artificial atoms" due to the discrete, tunable electronic, optical and magnetic properties that arise from finite size effects. This talk will briefly outline some of the current "best practices" in preparation, isolation and characterization of shape controlled NC that will be employed in our assembly efforts. Specific strategies to organize of anisotropic NCs in to single component superlattices and shape complimentary binary assembly will be highlighted. We can now induce NCs to form new strongly coupled solids through the application of surface exchange techniques that decrease interparticle spacing of less that 1 mm. When these artificial atoms are brought into close proximity with, increasing electron transparent barriers, the coupling of the electronic properties induces the emergence of new collective electronic properties. These exchange techniques will be exteneded to multi-component systems. This size and shape complimentary assembly approach is modular, allowing different types of NCs to be combined with the semiconductor NCs to add new functionality and allow the coupling of different physical phenomena. Examples of systems that combine semiconducting, magnetic, plasmonic and catalytic particles in different architectures will be discussed. The potential to design new materials and devices expands dramatically with the creation binary and ternary NC superlattices and through the use of core/shell particles as one choice for the nanoscale building blocks. Although this modular nano-assembly approach has already been extended to a wide range of NC systems, there is a need to expand the techniques for larger scale deposition if they are to be of technological relavance. Progress in extending multi-component nanocrystal superlattice growth to scalable fabrication techniques will be shared.
Symposium Organizers
Sandrine Ithurria, Ecole Superieure de Phusique et de Chimie Industrielles
Masaru Kuno, University of Notre Dame
Prashant Nagpal, University of Colorado Boulder
Matthew Pelton, University of Maryland, Baltimore County
Symposium Support
Aldrich Materials Science
Nanoscale
University of Notre Dame
II7/L10: Joint Session: Metamaterials and Nanoparticles
Session Chairs
Shuang Zhang
Patrice Genevet
Wednesday PM, December 03, 2014
Hynes, Level 2, Room 210
2:30 AM - *II7.01/L10.01
Opportunities with Optical Metamaterials
David Richard Smith 1 2
1Duke University Durham USA2Duke University Durham USA
Show AbstractOver the past decade, fascinating and compelling experiments performed on nanostructured surfaces have revealed that metamaterial physics—such as the negative index media or invisibility cloaks first explored at microwave frequencies—can be obtained at infrared and visible wavelengths. However, these demonstrations have also shown how detrimental material absorption and losses can be, rendering most of these blockbuster experiments impractical as routes for competitive devices or practical applications. For this reason, we are led naturally to alternative applications and phenomena for optical metamaterials, which provide a far more promising path to practicality. In particular, optical metamaterials that exploit surface plasmons on metal nanostructures—a phenomenon unavailable at lower frequencies—can dramatically enhance optical fields and can form the platform for novel and advantageous absorbing or nonlinear optical media, as well as new and reconfigurable diffractive optics. As an example, we will discuss the use of film-coupled nanoparticles as a system in which plasmonic field enhancements can be controlled to unprecedented levels. The film-coupled system leverages planar fabrication techniques, such as self-assembled organic layers or atomic layer deposition, to enable extreme (sub-nanometer) and reproducible spacing between nanoparticle and film. The film-coupled nanoparticle system provides just one potential route to a useful metamaterial format. We will present an overview of the prospects of scaling metamaterials to the optical (infrared and visible) regime, focusing on configurations like the film-coupled nanoparticle system that provide useful functionality while mitigating losses and other deleterious properties.
3:00 AM - *II7.02/L10.02
Self-Assembly on Large-Scales: Visible-Wavelength and nm-Scale Metamaterials
Jeremy J Baumberg 1 Lindsey Ibbotson 1
1University of Cambridge Cambridge United Kingdom
Show AbstractNew optical materials are finding increasing applications in communications, healthcare and sensing. Combining metals, dielectric, semiconductors and soft polymers in unusual nano-architectures allows extreme control over the coupling of light and matter, producing advanced optical functionality. However constructing such nano-materials has been a huge problem, and must be overcome for applications to emerge in widespread technologies. While top-down fabrication is effective for small area 2D materials, for many applications volume production of 3D metamaterials is needed. In this talk I will present a number of new approaches to produce mass-scale visible-wavelength plasmonic- and meta-material films.
A breakthrough for 3D metallic architectures for visible wavelength metamaterials has been enabled by our exploitation of block-copolymers to create large-area 3D gyroidal nano-architectures with 30-50nm unit cells and 10nm strut dimensions [1]. We investigate their linear and nonlinear optical properties, showing such ‘holey Au&’ has tuneable plasma edge into the infrared, with massively enhanced transmission in select resonances.
An alternative route to novel woodpile photonic crystals and metamaterials manufactured over areas of several cm that operate in the visible regime, involves multiply-stacking sheets of gold wires supported by ultrathin polymer films. With 3D periodicities down to 134nm, we create strong resonant reflectors that can be flex tuned, with tuneable properties.
Nanoparticle dimers can be used as ultrasensitive plasmonic sensors, but generation of reproducible signals requires accurate control over particle separation. Here we use an innovative DNA origami design to create strongly coupled gold NP dimers in high yield (>80%) with reproducible and accessible gaps of 3nm [2]. Supercontinuum spectroscopy of many individual dimers shows the reproducibility, and demonstrates massive surface-enhanced Raman scattering. Since this is a simply scalable technology it has significant implications for building plasmonic sensors directly in the field [3].
Light can be used not only to characterize such materials, but can also be used for their structural modification. Here, we show how intense laser light can be employed to induce metallic threads between precisely assembled nanoparticles with sub-nm gaps with real time optical feedback. We also show how such controlled laser-sculpting can be extended to large scales by threading millions of nanoparticle chains in suspension simultaneously maintaining accurate control over their diameter [4]. Pulsed laser light becomes an inexpensive and effective tool to manipulate structures at the nanoscale that can easily be scaled up to meet the requirements of industrial production.
[1] Adv Mat 25, 2713 (2013)
[2] Nat. Comm. 5, 3448 (2014)
[3] ACS Nano 5, 3878 (2011)
[4] Nat. Comm. (2014)
4:30 AM - II7.03/L10.03
Scaling Nanoparticle Integration for Programmable 3D Plasmonic Architectures
Wei Sun 1 2 Peng Yin 1 2
1Wyss Institute of Biologically Inspired Engineering at Harvard University Boston USA2Harvard Medical School Boston USA
Show AbstractPlasmonic architectures those direct light propagation three dimensionally are important components for constructing future 3D metamaterials and optical circuits. At nanoscale, metal nanoparticles with tunable surface plasmon is widely used to confine light energy. Further integration of metal nanoparticles into programmable 1D/2D coupled arrays directs the light propagation into prescribed pathways through surface plasmon polariton propagation. To construct a 3D architecture, DNA nanostructures, especially 3D DNA origami, are recently highlighted as promising templates to align metal nanoparticles, owing to their 3D digital shape programmability. However, limited by their intrinsic scaffold-length, 3D DNA origami structures are generally smaller than 100 nm, and mostly work only for discrete clusters with less than 10 nanoparticle componenets. It remains an open challenge for scaling the metal nanoparticle integration into large-scale 3D ordered programmable architectures.
To step-forward this challenge, we here describe a versatile strategy for scalable integration of hundreds metal nanoparticles into ordered programmable 3D architectures, ranging from several hundred nanometers to microns with sub-5 nm aligning precision. We first assemble large-scale DNA templates through the hierarchical assembly of pre-formed DNA building blocks or non-hierarchical epitaxial growth of DNA bricks. Printing gold nanoparticles, ranging from 5 nm to 30 nm, onto the prescribed positions on the DNA templates through surface DNA hybridization produces the prescribed 3D plasmonic architectures. Theoretical computation and optical measurements suggest, by tuning the vertical spacing, complicate plasmonic interactions and light propagation behaviors exist exclusively within the 3D nanoparticle architectures.
Large-scale 3D DNA templates provide a simple, high precision, and versatile strategy for 3D plasmonic architectures. Simplicity. Large-scale 3D DNA templates reduce the challenging task of exploring self-assembly conditions for local interactions among particles to a much simpler task of designing the surface binding patterns on a DNA nanostructure, which can be readily achieved by computer-aided design softwares. Additionally, each metal nanoparticles can be uniquely addressed and positioned onto prescribed spatial positions, owing to the single-strand addressability of DNA templates. High precision. Using current DNA templates, nanoparticles can be aligned at a sub-5 nm spatial positioning precision, which is beyond most of the existing top-down and bottom-up approaches for 3D architectures. Versatility. Not limited to small or symmetric shapes from traditionally bottom-up approaches, especially DNA origami-directed self-assembly, large-scale 3D architectures, particularly asymmetric structures with distinct layers, can be designed and fabricated from current method.
4:45 AM - II7.04/L10.04
Photothermal Killing of Cancer Cells by the Controlled Plasmonic Coupling of Silica-Coated Au/Fe2O3 Nanoaggregates
Georgios Sotiriou 1 2 Fabian Starsich 2 Athanasia Dasargyri 2 Moritz Wurnig 3 Frank Krumeich 2 Andreas Boss 3 Jean-Christophe Leroux 2 Sotiris E. Pratsinis 2
1Harvard University Boston USA2ETH Zurich Zurich Switzerland3University Hospital Zurich Zurich Switzerland
Show AbstractPlasmonic nanoparticles play an important role in biomedical applications today as they can serve as superior optically-stable bioimaging agents, be employed in biosensor devices for the early diagnosis of diseases, and exhibit promising results for their employment in vivo as therapeutic agents. For several bioapplications, however, nanoparticles that express more than one functionality are often advantageous [1]. This has led to the synthesis of multifunctional plasmonic nanoparticles that combine the attractive plasmonic properties with other functionalities like magnetism, photoluminescence, dispersibility in aqueous solutions and resistance to degradation [2,3]. Furthermore, tumor ablation by thermal energy via the irradiation of plasmonic nano-particles is a relatively new oncology treatment. Here, hybrid plasmonic-superparamagnetic nanoaggregates (50-100 nm in diameter) consisting of SiO2-coated Fe2O3 and Au (asymp;30 nm) nanoparticles were fabricated using scalable flame aerosol technology. By finely tuning the Au interparticle distance using the SiO2 film thickness (or content) the plasmonic coupling of Au nanoparticles can be finely controlled bringing their optical absorption to the near-IR that is most important for human tissue transmittance [4]. The SiO2 shell facilitates also dispersion and prevents the reshaping or coalescence of Au particles during laser irradiation, thereby allowing their use in multiple treatments. Their effectiveness as photo-thermal agents is demonstrated by killing human breast cancer cells with a short, four minute near-IR laser irradiation (785 nm) at low flux (4.9 W cm-2).
References
[1] G.A. Sotiriou, “Biomedical Applications of Multifunctional Plasmonic Nanoparticles”, WIREs Nanomed. Nanobiotechnol.5, 19-30 (2013).
[2] G.A. Sotiriou, T. Sannomiya, A. Teleki, F. Krumeich, J. Vörös, S.E. Pratsinis, “Non-toxic, Dry-coated Nanosilver for Plasmonic Biosensors”, Adv. Funct. Mater.20, 4250-4257 (2010).
[2] G.A. Sotiriou, A. Hirt, P.-Y. Lozach, A. Teleki, F. Krumeich, S.E. Pratsinis, “Hybrid Silica-coated, Janus-like Plasmonic-Magnetic Nanoparticles”, Chem. Mater.23, 1985-1992 (2011).
[3] G.A. Sotiriou, F. Starsich, A. Dasargyri, M.C. Wurnig, F. Krumeich, A. Boss, J-C. Leroux, S.E. Pratsinis. “Photothermal Killing of Cancer Cells by the Controlled Plasmonic Coupling of Silica-coated Au/Fe2O3 Nanoaggregates”, Adv. Funct. Mater.24, 2818-2827 (2014).
5:00 AM - II7.05/L10.05
Chiral Aluminum Nanoparticles
Kevin M McPeak 1 Christian D van Engers 1 2 Mark Blome 3 Sven Burger 4 3 Ava Faridi 1 David J Norris 1
1ETH Zurich Zurich Switzerland2Oxford University Oxford United Kingdom3Zuse Institute Berlin Germany4JCMwave Berlin Germany
Show AbstractSolid plasmonic particles that provide strong circular dichroism at ultraviolet wavelengths, which could enhance secondary-structure determination in biomacromolecules, have not been possible. Here we address this by demonstrating a simple and general route to chiral colloids. We exploit anisotropic etching of non-standard high-index silicon wafers to prepare plasmonic nanopyramids with a specific handedness. The resulting particles, which are easily dispersed into liquids, present chiral pockets for molecular binding. If fabricated from gold, colloids with record molar circular dichroism (>5x109 M-1cm-1) at red wavelengths are obtained. More importantly, we demonstrate chiral colloids from aluminum, a plasmonic metal suited to ultraviolet wavelengths. Because these aluminum nanopyramids exhibit chiral optical signatures resonant with many biomacromolecules, new methods for detecting structural chirality in chemistry and biology become possible.
McPeak et al, Complex Chiral Colloids and Surfaces via High-Index Off-Cut Silicon. Nano Lett. 2014, 14, 2934-2940.
5:15 AM - II7.06/L10.06
The Searchlight Effect in Hyperbolic Media
Graeme Walter Milton 1 Ross McPhedran 2 Ari Sihvola 3
1University of Utah Salt Lake City USA2University of Sydney Sydney Australia3Aalto University Aalto Finland
Show AbstractHyperbolic media in which the dielectric tensor has both positive and negative eigenvalues have been shown to defeat the diffraction limit, and allow features at very small wavelengths to be resolved as demonstrated through hyperlenses. Even in quasistatics the underlying equation resembles a wave equation. Whereas a circular hole in an isotropic dielectric medium has a simple dipolar field around it, we will see that a circular hole in an almost lossless hyperbolic media, has surrounding quasistatic fields which diverge along characteristic lines tangent to the hole, and which have finite total energy absorption along these lines, even as the loss in the medium tends to zero. In a hyperbolic medium a dipole with small polarizability can dramatically influence the dipole moment of a distant polarizable dipole, if it is appropriately placed. We call this the searchlight effect, as the enhancement depends on the orientation of the line joining the polarizable dipoles and can be varied by changing the frequency. For some particular polarizabilities the enhancement can actually increase the further the polarizable dipoles are apart, like the way quarks interact more strongly the further they are apart.
5:30 AM - II7.07/L10.07
Nonreciprocal Hybrid Plasmonics
Harald Giessen 1
1University of Stuttgart Stuttgart Germany
Show AbstractLight propagation is usually Lorentz-reciprocal. However, a static magnetic field along the propagation direction can break the Lorentz reciprocity in the presence of magneto-optical (MO) materials [1]. The Faraday effect in such materials rotates the polarization plane of light by an angle phi;, and when light travels backward the polarization is further rotated. The enhancement of the Faraday rotation in MO thin Films is of particular interest due to the demand of optical isolation devices in integrated optics [2] and laser engineering [3].
We hybridized thin films of magneto-optical materials with plasmonic structures and achieved 4.2 degrees of Faraday rotation for a 200 nm thick structure. This large Faraday rotation is accompanied with a reasonably high transmittance of 27 %.
Our structure consists of a 150 nm thick MO slab waveguide and a 50 nm thick gold nanowire grating on top. The gold wires were deposited by electron beam lithography [4]. The structure shows the highest enhancement for a 360 nm period. For higher periods the TM and TE waveguide modes shift away from each other and the enhancement decreases. This behavior is in good agreement with simulations and can be explained by the fact that the TE waveguide mode has no plasmonic component where the TM mode has one [5]. Thus changing the period affects the TE and TM mode differently [6]. This leads to the existence of a minimal spectral distance of the TE and TM mode where the enhancement of the Faraday rotation is maximal.
To ensure that the measured rotation is purely non-reciprocal and only due to the Faraday effect we performed B-field dependent measurements of the optical rotation. The Faraday rotation curves are highly symmetric with respect to the axis of zero degree. This indicates that the measured rotation is highly non-reciprocal and caused by the plasmonically enhanced Faraday rotation.
We acknowledge funding by DFG, BMBF, GIF, ERC, BW-Stiftung and MWK Baden-Württemberg. We are grateful to Jürgen Weis (MPI FKF, Stuttgart) for continuous support.
This work has been carried out by Dominik Floess, Akihito Kawatani, Daniel Dregely, and Jessie Y. Chin at University of Stuttgart and MPI FKF in Stuttgart. We acknowledge assistance by Hanns-Ulrich Habermeier at MPI FKF in Stuttgart.
A. De Hoop, A reciprocity theorem for the electromagnetic field scattered by an obstacle, Appl. Sci. Res. 8:135, 1960
H. Dötsch, Applications of magneto-optical waveguides in integrated optics: review, J. Opt. Soc. Am. B 22:240-253, 2005
K. Petermann, External Optical Feedback Phenomena in Semiconductor Lasers, IEEE J. Sel. Top. Quantum Electron. 1:480-489, 1995
J. Chin et al., Nonreciprocal plasmonics enables giant enhancement of thin-film Faraday rotation, Nat. Commun. 4:1599, 2013
A. Christ et al., Phys. Rev. Lett. 91: 183901, 2003
A. Christ et al., Optical properties of planar metallic photonic crystal structures: experiment and theory, Phys. Rev. B 70:125133, 2004
5:45 AM - II7.08/L10.08
Alignment of Nonmagnetic Nanoparticles via Magneto-Optical Effects at Ultralow Field Intensities
Matthew Moocarme 2 1 Benjamin Kusin 1 Luat Vuong 1 2
1Queens College Queens USA2The Graduate Center of CUNY New York USA
Show AbstractMagneto-plasmonics is a designation generally associated with ferromagnetic-plasmonic materials because such optical responses from nonmagnetic materials alone are considered weak. Magneto-optical responses from nonmagnetic nanoparticles are possible [1]. Such repsonses provide a novel route to applications in bottom-up self-assembly, biosensing and microfluidics, in which conventional ferromagnetic materials are unsuitable. Here, we show that there exists a switching transition between linear and nonlinear magneto-optical behaviors in noble-metal colloidal nanospheres that is observable at ultralow illumination intensities (~1W/cm2) and DC (~1mT) magnetic fields [2]. The response is attributed to polarization-dependent nonzero-time-averaged plasmonic loops and vortex power flows that ultimately lead to nanoparticle magnetization. Circularly-polarized beams and coincident DC magnetic fields act to drive plasmon-polaritons in circular loops to generate a static magnetic dipolar response. These circular loops modify the conductivity which results in a change in the refractive index of the gold. Magnetization of the nanoparticle is observed in the transmission spectra of the nanocolloidal solution which shifts in accordance with changes in the refractive index calculated analytically via a Drude-like model[2].
Furthermore, we demonstrate that significant mechanical effects result from magnetic-dipole interactions, and such effects are subject to anisotropy when nanoparticles are non-spherical. When nanopsheres exhibit slight asymmetry such as those expected in the fabrication of nanospheres mechanical effects result, as seen in minute time-scale changes in transmission spectra. Slightly asymmetric nanospheres rotate such that the magnetization of the nanoparticle maximizes with respect to the external magnetic field. Moreover, by increasing the anisotropy further to nanowires we further increase the mechanical response. Nanowires exhibit multiple resonances depending on their orientation with respect to the incident field as well as wavelength, thus interactions with an external magnetic field will be orientation-dependent [3]. Strong interactions in which free energy is minimized are preferred, which results in a alignment of the nanowires via magnetic-dipole interactions. This work demonstrates alignment and induced magnetization of nonmagnetic plasmonic nanoparticles at ultralow light intensities and DC magnetic fields.
References
[1] F. Pineider et al. “Circular Magnetoplasmonic Modes in Gold Nanoparticles” Nano Letters 13 (2013)
[2] M.Moocarme et al. “Ultralow-Intensity Magneto-Optical and Mechanical Effects in Metal Nanocolloids” Nano Letters 14 (2014)
[3] T. Ming et al.”Strong Polarization Dependence of Plasmon-Enhanced Fluorescence on Single Gold Nanorods” Nano Letters 9 (2009)
II8: Poster Session II
Session Chairs
Wednesday PM, December 03, 2014
Hynes, Level 1, Hall B
9:00 AM - II8.01
Mode-Specific Study of Nanoparticle-Mediated Optical Interactions in an Ultra-Thin Conjugated Polymer/Metal Film System
Binxing Yu 1 Joe Woo 2 Michael Kong 2 Deirdre Marie O'Carroll 2 1 3
1Rutgers University Piscataway USA2Rutgers University Piscataway USA3Rutgers University Piscataway USA
Show AbstractConjugated polymers have been intensively studied in the recent years due to their remarkable electronic and optical properties which include strong excitonic absorption, photoluminescence and electroluminescence. However, their limited spectral absorption bandwidths have limited their applications in photodetection and photovoltaic devices, which makes improving light-harvesting in ultra-thin conjugated polymer active layers an ongoing topic of interest in the optoelectronic community.
Single metallic nanoparticles (NPs) on a smooth metallic substrate can create high electric field intensities in the gap between the NP and the substrate. Such a particle-film system effectively acts as an optical antenna structure and can localize visible electromagnetic radiation to subwavelength resonant modes and, thus, can be used to control light-matter interactions at the nanoscale. Control over the particle-substrate interactions can be used to achieve this strong electromagnetic mode confinement at tunable wavelengths, and could, potentially, be employed to modify absorption strength and bandwidth in conjugated polymer material that is sandwiched in between the particle and substrate [1].
In this work, we fabricated a metal NP/poly(3-hexylthiophene) (P3HT)/metal film system to theoretically and experimentally investigate the tunability of the subwavelength resonant modes supported by the system and their impact on the spectral response of sub-50-nm-thick conjugated polymer layers. Single nanoparticle dark-field scattered-light spectroscopy and full-field electromagnetic simulations revealed evidence for the excitation of both localized surface plasmons (LSPs) of the nanoparticle and propagating surface plasmon polaritons (SPPs) of the metallic film. A distinct resonance feature observed in the scattering spectra at a wavelength of ~650 nm was assigned to a specific hybrid mode of the system based on an image-dipole interaction model. Higher energy modes at 530 nm and 600 nm possibly derived from coupling and interplay between LSPs and SPPs. Upon modifying factors such as: polymer spacer thickness, nanoparticle height and material type, we could alter the bandwidth and intensity of the individual modes and optimize the degree of electromagnetic field localization in the polymer. In addition, we also conducted epi-fluorescence measurements which indicated an influence of the NP/P3HT/metal film system on the photoluminescence properties of P3HT, likely due to the highly confined and amplified field in the polymer spacer. The analysis in this study would be useful for proposing new concepts for manipulation and control of light-matter interactions in nanoscale material volumes, which is of utmost importance for the optimization of ultra-thin film devices exploiting near-field phenomena, such as surface plasmon-enhanced thin-film solar cells and light-emitting devices.
[1].Yu, B.; Goodman, S.; Abdelaziz, A.; O&’Carroll, D., Appl. Phys. Lett. 101, 151106 (2012)
9:00 AM - II8.02
Role of Silver Nanoparticles in Growth Mode of Diamond Like Carbon Films and Surface Enhanced Raman Scattering
Sigitas Tamulevicius 1 Tomas Tamulevicius 1 Sarunas Meskinis 1 Asta Tamuleviciene 1
1Kaunas University of Technology Kaunas Lithuania
Show AbstractIn the present research diamond like carbon (DLC) - metastable form of amorphous carbon consisting of the sp2 bonded (graphite-like) carbon clusters inserted into the sp3 bonded (diamond like carbon) carbon as well as DLC based silver nanocomposites films were studied. Such films find multiple applications due to plasmonic effects and combination of unique mechanical and electrical properties of DLC matrix. The research was aimed on further elucidation of the role of silver nanoparticles in enhancing Raman spectra of DLC as well on influence of nanoparticles on the growth mode of nanocomposites. Two types of films were deposited for these studies: a) DLC based nanocomposites thin films (Ag concentration varied between 1.4 to 34 at.%) were deposited by reactive magnetron sputtering of silver target in the presence of hydrocarbons on silica and b) DLC films of different thickness in nanometer range were deposited by direct ion deposition of hydrocarbons on silica and crystalline silicon decorated with silver nanoparticles. Such kind of experiments enabled us to differentiate between the role of nanoparticles in production of sp3 bonded carbon and sp2 clusters as well us to study role of DLC in surface enhanced Raman spectroscopy measurements.
Structure of the crystalline phase of the deposited nanocomposite films was investigated by means of X-ray diffraction (XRD), surface morphology was studied by scanning electron microscopy, composition - by electron spectroscopy for chemical analysis. Multiwavelength Raman scattering measurements were performed with 325 nm, 442 nm, 532 nm, 633 nm, and 785 nm wavelength excitations by using the Raman microscope inVia (Renishaw).
Raman spectra of the DLC:Ag films measured using five different excitation wavelengths were typical for diamond like carbon films presenting G peak (stretching vibration mode of sp2 bonded carbon) at ~1500-1600 cm-1 and disorder-induced D peak (breathing modes of sp2 bonded carbon rings. sp3 bonded carbon related T peak was observed in the UV excited Raman scattering spectra for some samples. Parameters of the peaks such as position of G peak, FWHM of G peak (FWHM(G)), and D/G peak intensity ratio were determined by fitting the experimental curves by Gaussian form components. Disp(G) was calculated as a slope of the G peak position vs excitation wavelength.
In the case of the DLC:Ag films containing low amount of the silver, crystalline silver oxide prevailed over silver. While at higher Ag atomic concentrations formation of the silver crystallites of different orientations was observed. Surface enhanced Raman scattering effect was detected for high Ag content in the films. It was shown that DLC thicknesses below 100 nm might be taken into account as optically and mechanically appropriate protection coatings for soft silver plasmonic substrates.
9:00 AM - II8.03
Shape Engineering Periodic Arrays of Substrate-Based Plasmonic Nanostructures
Kyle D. Gilroy 1 Pouyan Farzinpour 1 Aarthi Sundar 1 Robert A. Hughes 1 Svetlana Neretina 1 Devika Sil 1 Eric Borguet 1
1Temple University Philadelphia USA
Show AbstractNumerous synthetic protocols have been devised which transform solution-dispersed templates into intricate plasmonic nanostructures. These investigations have led to breakthroughs in nanostructure shape engineering as well as an elucidation of the fundamental atomic-scale mechanisms. Adapting these protocols to substrate-immobilized templates presents a unique set of opportunities derived from the fact that templates can be defined at site-specific locations with a crystallographic orientation determined by a heteroepitaxial relationship with the underlying substrate. Through both the independent and synergetic use of galvanic replacement reactions and seed mediated heterogeneous nucleation agents we, for the first time, demonstrate the synthesis of periodic arrays of substrate-based plasmonic nanostructures which exhibit (i) symmetry breaking characteristics,1 (ii) shell, core-shell and nanocage morphologies1,2 and (iii) tunable bimetallic and multimetallic alloy compositions.3 A mechanistic understanding of these substrate-based reactions will be presented with a focus on (i) the dramatic effect of template surface passivation, (ii) facet dependent hollowing, (iii) the role of defect transfer during shell growth and (iv) the role that substrate-template heteroepitaxy plays in orienting the end product. These studies aim to establish the mechanistic framework and synthetic protocols required to place shape-engineered substrate-based plasmonic nanostructures at site-specific locations, a capability which will enable the fabrication of advanced sensing devices with plasmonic elements as the active component.
1 K. D. Gilroy, A Sundar, P Farzinpour, R.A. Hughes, S. Neretina, Mechanistic Study of Substrate-Based Galvanic Replacement Reactions, Nano Research, 7, 365-379 (2014).
2 K. D. Gilroy, P. Farzinpour, A. Sundar, T. Tan, R. A. Hughes, S. Neretina, Substrate-Based Galvanic Replacement Reactions Carried out on Heteroepitaxially formed Silver Templates, Nano Research, 6, 418-428 (2013).
3 K. D. Gilroy, P. Farzinpour, A Sundar, R. A. Hughes, S. Neretina, Sacrificial Templates for Galvanic Replacement Reactions: Design Criteria for the Synthesis of Pure Pt Nanoshells with a Smooth Surface Morphology, Chemistry of Materials, DOI: 10.1021/cm501418d, (2014).
9:00 AM - II8.04
Optical Study of Surface States of PbS/PbSe Quantum Dot
Jun Yang 1 Frank Wise 1
1Cornell University Ithaca USA
Show AbstractLead salt quantum dot, thanks to their ideal bandgap energy, has been studied extensively in optoelectronic device applications. However, their detailed fine structure, though very important, is still not clear. Low temperature photoluminescence of lead salt quantum dot has been reported before.1-3 It was found that there are two states, in thermal equilibrium to each other, that are responsible for the low temperature emission. One of the states, prominent at room temperature, is the ordinary exciton state (state A), which is also the state responsible for absorption. The nature of the other state (state B) is not clear. It is construed as either a surface state, or a dark exciton state, split by spin-orbit coupling or inter-valley coupling.
Here we examine the system more closely, and provide more experiments evidence to shine light onto the nature of this intriguing state. Thermal activated model predicts that the energy difference between state A and B is about 20-25meV. However, the photon emitted from state A and state B differ by as much as 50-60meV. This suggests a much larger phonon coupling of state B, very similar to the surface state found in CdSe/CdS dots.4 To probe the transfer time between state A, and B, we used time resolved photo-luminescence spectroscopy, and found that the spectrum doesn&’t change as time evolves at any temperature (10K-300K). This shows that the thermal equilibrium is established very fast (<1ns) between the two states. We go on using femtosecond transient absorption to further study dynamics in a shorter time scale. We only found the bleaching signal from the core state, but no stimulated emission signal from the surface state. Moreover, the bleaching signal doesn&’t show any short component. This further limits the transfer time to be smaller than our temporal resolution (<200fs). On the other hand, we did observe consistently longer Auger relaxation rate at lower temperature. As Auger is electron interaction, and not phonon assisted, this suggest that the state B has spatial charge distribution than state A, pointing to the nature of a surface state.
Reference
1. Kigel, A., Brumer, M., Maikov, G. I., Sashchiuk, A. & Lifshitz, E. Thermally activated photoluminescence in lead selenide colloidal quantum dots. Small 5, 1675-81 (2009).
2. Chappell, H. E., Hughes, B. K., Beard, M. C., Nozik, A. J. & Johnson, J. C. Emission Quenching in PbSe Quantum Dot Arrays by Short-Term Air Exposure. J. Phys. Chem. Lett. 2, 889-893 (2011).
3. Gaponenko, M. S., Tolstik, N. a., Lutich, A. a., Onushchenko, A. a. & Yumashev, K. V. Temperature-dependent photoluminescence Stokes shift in PbS quantum dots. Phys. E Low-dimensional Syst. Nanostructures 53, 63-65 (2013).
4. Mooney, J., Krause, M. M., Saari, J. I. & Kambhampati, P. Challenge to the deep-trap model of the surface in semiconductor nanocrystals. Phys. Rev. B 87, 081201 (2013).
9:00 AM - II8.05
Tight-Binding Study on Effect of Disorder on Coherent Transport in Nanocrystal Assemblies
Jun Yang 1 Frank Wise 1
1Cornell University Ithaca USA
Show AbstractEfficient charge transport in nanocrystal assembly is a very important step towards high performance device applications. Recent developments have pushed carrier mobility to the order of 10 cm^2/Vs. Indications of band-like transport were reported, mostly from temperature dependence of mobility. But controversy of their exact nature is still in debate. Intrinsic disorder due to size-polydispersity and imperfect alignment of these nanocrystals are the biggest obstacles towards band-like transport.
To evaluate quantitatively the effect of disorder on transport, we study the Anderson localization on nanocrystal assembly. We use a tight binding model where energetic and positional disorders are explicitly modeled. Density of states and wavefunction spreading are analyzed to identify the mobility edges, if they do exist. We summarize the results using a phase diagram of localization-delocalization transition for different superlattice structures: simple cubic, body center cubic, face center cubic and random close packing. We find that the critical disorder at the transition point mainly depends on short range ordering, the number of nearest neighbors, and not on the long range ordering of the superlattice. We also use effective mass model to calculate the coupling energy between adjacent dots, and clarify the relationship of redshift of optical spectrum and coupling energy. We estimate that for ethanedithiol coupled amorphous PbSe quantum dot assembly, the disorder is at the margin of localization-delocalization transition. We expect that band-like transport should be attainable with suitable ligand and proper surface passivation.
9:00 AM - II8.06
Enhanced Optical Nonlinearity in ZnO Nanoparticles Using Resonant and Off-Resonant Plasmonic Interactions
Jie Lin 1 Nafiesh Aflakian 1 Duncan Weathers 1 Yasuhisa Fujita 2 Arup Neogi 1
1University of North Texas Denton USA2Shimane University Matsue Japan
Show AbstractThe nonlinear optical (NLO) properties of semiconductor can be modified by the change in the local electric field induced by near-field interaction of localized plasmonic modes. The NLO properties of ZnO is significant due to its wide-bandgap, which makes it transparent in the visible region and can be synthesized with high crystalline quality. ZnO has a strong exciton binding energy and a large LO phonon energy which enables nonlinear phenomena feasible at room temperature. The strong exciton binding results in efficient stimulated emission or electron-hole plasma generation that can also lead to hot-carrier effects in the presence of strong electron-phonon coupling. Our effort in this direction is to increase the optical nonlinearity in ZnO by utilizing localized plasmon modes at the appropriate frequency. Normally in a symmetric crystal structure, cubic nonlinearities leading to multi-photon absorption or emission process is the lowest order nonlinear effect. These nonlinearities can be resonantly modified by linear surface plasmon interaction due to enhancement in the photonic density of states. However, these resonant plasmonic effects are also influenced by thermal dissipation due to phonons and multiphoton absorption.
Due to the lattice defects induced due to Zn interstitials and O vacancy, ZnO exhibit non-centrosymmetric behavior that results in the second harmonic generation simultaneously in the presence higher order nonlinear effects such as two-photon emission or stimulated emission. We present an unique approach to increase the SHG efficiency in arc-vaopor technique synthesized ZnO bulk nanocrystals by tuning the localized surface plasmon (LSP) frequency in the vicinity of the semiconductor band-edge using Ag nanoparticles. The two-photon absorption efficiency and the subsequent emission (TPE) has been enhanced by increasing the interaction time at the virtual state. This is achieved by selecting the LSP energy to be resonant to the excitation wavelength and also in resonant with the virtual state situated below the bandgap of ZnO. Gold nanoparticles with localized plasmon energy tuned to the sub-bandgap states has been used to probability of multi-photon nonlinear optical interaction process. The nonlinear enhancement mechanism due to the resonant and off-resonant coupling of the LSP modes to the various states of the semiconductor structure has been demonstrated using time and temperature resolved photoluminescence spectroscopy. The nonlinear optical spectroscopic studies were performed using femtosecond Ti:Sapphire lasers as well as nanosecond Nd:YAG laser. The results shows that the threshold required for the two-photon process can be reduced by an order of magnitude without resonant localized surface plasmon interaction.
9:00 AM - II8.07
Spectroscopy of Metal Alloyed Nanoparticles with Tunable Scattering Properties
Chen Gong 1 2 Allen Chang 1 2 Dakang Ma 3 2 Elizabeth Tennyson 1 2 Jeremy N. Munday 3 2 Marina Leite 1 2
1University of Maryland College Park USA2University of Maryland College Park USA3Univ of Maryland College Park USA
Show AbstractTo date, extensive research using metallic nanoparticles (NPs) as far-field scattering centers has shown significant absorption enhancement in different solar cell technologies. Despite all the recent progress, these NPs still suffer from significant absorption loss and have limited scattering tunability. Thus, a material with broadband low absorption cross-section (σabs) and high scattering cross-section (σscat) for solar cells application is still missing. Here we use metal-alloyed NPs formed by Ag, Al, Au and Cu, with high broadband scattering efficiency [eta; = σscat / (σscat + σabs)], as a better alternative to the single metal NPs typically under investigation. We show that, depending on size and composition, these metal alloy NPs can surpass the performance of the single composition ones. Binary, ternary and quaternary ordered and randomly distributed alloyed NPs with variable size (100 - 1000 nm) and controlled optical properties are fabricated by depositing thin films through SiN templates via e-beam evaporation and combinatorial sputtering. The metals alloy, forming a solid solution with chemical term of enthalpy equal or below zero. The optical properties of the alloyed thin-films with variable composition are determined by ellipsometry. Further, we investigate the local electric field distributions generated by both single alloyed NPs and by an array of NPs by near-field scanning optical microscopy (NSOM). Spectrally dependent and broadband illumination (mimicking 1-sun) dependent NSOM measurements of the electrical field distribution of the alloyed NP with distinct compositions will be presented.
9:00 AM - II8.08
Syntheses and Photophysical Properties of InP/CdS/CdSe (Core/Shell/Shell) Quantum Dots
Diane Sellers 1 Matthew F Doty 1
1Univeresity of Delaware Perryville USA
Show AbstractComplex core/multi-shell quantum dot (QD) configurations can be used to tailor light absorption and emission properties. We report a new core/shell/shell/shell QD structure designed to implement efficient photon upconversion. We report on the syntheses and characterization of InP/CdS/CdSe (core/shell/shell) intermediate products. Structural characterization was performed with transmission electron microscopy (TEM), energy dispersive spectroscopy (EDX), and x-ray diffraction (XRD) to determine particle size, crystallinity, and band alignment. XRD and TEM indicate a Zinc Blende InP core with a wurtzite CdS and CdSe shell. Photophyscial properties were characterized using steady-state absorption and photoluminescence (PL) spectroscopy and time-resolved PL spectroscopy. A red-shift of absorption and PL band maxima for InP with addition of the CdS and CdSe shells as well as an increase in radiative lifetime indicates charge separation from the InP conduction band (CB) to CdSe CB. The presented results suggest that the combination of a type-II InP/CdS interface and an inverse type-I CdS/CdSe interface confine the hole in the QD core while allowing the electron to escape to the CdSe shell. This independent control over carrier transfer dynamics provides the tools necessary to implement efficient photon upconversion.
9:00 AM - II8.09
Plasmonic Nanoparticle-Functionalized Block Copolymers for Self-Assembled, Spectrally Selective Hyperbolic Metamaterials in the Visible
Johann Toudert 1 Xuan Wang 1 Clemence Tallet 1 Kevin Ehrhardt 1 Alexandre Baron 2 Ashod Aradian 1 Virginie Ponsinet 1
1Centre de Recherche Paul Pascal, University of Bordeaux - CNRS Pessac France2Center for Metamaterials and Integrated Plasmonics, Duke University Durham USA
Show AbstractDuring the last years, much effort has been devoted to the design of uniaxial anisotropic metamaterials presenting a hyperbolic dispersion relation in the ultraviolet, visible or infrared range. Exciting properties have been demonstrated for these materials, such as negative refraction, imaging below the diffraction limit or Purcell effect-induced huge fluorophore emission enhancements. The most straightforward way to achieve hyperbolic properties is based on the fabrication of multilayers made of alternated metallic and dielectric layers presenting a negative and positive dielectric permittivity in the desired spectral range, respectively. Upon adequate choice of the layers thicknesses (t << wavelength), effective optical properties are achieved with dielectric functions of opposite signs along and perpendicularly to the layers (ε// and εperp;, respectively), ensuring hyperbolic properties for the material.
However, the wavelength-dependence of the metallic layers permittivity, generally Drude-like, strongly limits the spectral tunability of the effective material hyperbolic properties. In contrast, thin layers consisting of metal nanoparticles (NPs) embedded in a dielectric host can be designed so that they present a negative dielectric permittivity in the spectral region of their surface plasmon resonances (SPRs) only. Due to the broad spectral tunability of SPRs as a function of the NPs size, shape and concentration, such nanocomposite layers are ideal building blocks for spectrally selective hyperbolic metamaterials.
In this work, we investigate the potential of self assembled block copolymers doped with gold NPs for fabricating spectrally selective hyperbolic metamaterials operating in the visible. Multilayer films consisting of alternating gold NP-doped and undoped polymer layers have been synthesized with different layer thicknesses and volume fractions of spherical NPs (in the 20 nm - 100 nm range, and 0% - 20% range, respectively). Their optical response has been determined by spectroscopic ellipsometry from the near UV to the near IR and modeled in order to obtain a full understanding of their spectral features, which show clear contributions from plasmonic and interference effects, and the dielectric function of the doped and undoped layers. From measurements in a broad angular range and calculations based on multilayer and anisotropic effective medium models, material structures for which effective ε// and εperp; can be defined and present opposite signs (and thus hyperbolic properties) in a narrow spectral window will be identified.
9:00 AM - II8.10
Plasmonic Enhanced Fluorescent Resonant Energy Transfer in Arrays of Au-Quantum-Dot Nanodisk Assemblies
Kin Wai Lei 1 Chao Liu 1 XiaoYang Zhu 2 Donglei Fan 1
1University of Texas at Austin Austin USA2Columbia University New York USA
Show AbstractA major research effort underway is to control energy transfer in quantum dots (QDs) for diverse applications ranging from solar energy harvesting, fluorescent displays, to biological imaging. In this work, we investigate plasmonic enhancement of Fluorescent Resonant Energy Transfer (FERT) in heterogeneous semiconductor QD clusters. By combining colloidal lithography and template assisted assembling, arrays of Au-QD nanodisk assemblies can be rationally fabricated on a wafer scale, where the plasmonic Au nanodisks with controlled diameters were precisely coupled to the close-packed CdSe QD clusters at a separation distance of a few nanometers. From these highly uniform and reproducible plasmonic-QD disk assemblies, for the first time, we experimentally demonstrated the strong effect of spectral overlap between plasmon resonance and absorption/emission of QDs on the FRET efficiency. The findings reported in this research could open new opportunities for controlling energy flow at the nanoscale.
9:00 AM - II8.11
Tuning the Energy Level Alignment through Ligand Exchange for High-Performance Air-Stable Quantum Dot Solar Cells
Chia-Hao Marcus Chuang 1 Patrick Richard Brown 2 Vladimir Bulovic 3 Moungi Gabriel Bawendi 4
1MIT Cambridge USA2MIT Cambridge USA3MIT Cambridge USA4MIT Cambridge USA
Show AbstractNear-infrared PbS quantum dots (QDs) composed of earth-abundant elements have emerged as promising candidates for photovoltaic applications. The QD surface ligands play crucial roles in determining their optoelectronic properties and stability. Advances in QD surface passivation, particularly through the use of halide ions as inorganic ligands, have led to rapid improvements in the performance of QD solar cells as a result of reduced trapped carrier density. In addition, recent studies have demonstrated the ability to control the energy levels of QD films through ligand exchange. Here we demonstrate high-performance ZnO/PbS quantum dot solar cells with a simple room-temperature solution processing in ambient conditions. We demonstrate a device architecture that employs air-stable iodide-passivated PbS QDs as the light-absorbing layer and another that uses thiol-passivated PbS QDs as the thin interfacial layer between the light-absorbing layer and the anode. The interfacial QD layer can either act as an electron-blocking/hole-extraction layer or create an unfavorable charge-extraction barrier, depending on the energy level alignment as determined by ultraviolet photoelectron spectroscopy. By optimizing the energy level alignment, a certified efficiency of 8.6% has been reached. Moreover, the devices show unprecedented air-stability for over 150 days without the need of encapsulation. This strategy serves as a guide for designing high-performance air-stable quantum dot solar cells compatible with simple solution processes and deposition on flexible substrates.
9:00 AM - II8.12
A Dynamically Tunable MEMS Mid-Infrared Plasmonic Frequency Modulator
Thomas Stark 1 Matthias Imboden 3 Sabri Kaya 3 2 Alket Mertiri 1 Shyamsunder Erramilli 1 4 David Bishop 1 3 4
1Boston University Boston USA2Erciyes University Kayseri Turkey3Boston University Boston USA4Boston University Boston USA
Show AbstractThe localized surface plasmon resonance (LSPR) of sub-wavelength metallic particles depends upon the particle composition, geometry, the ambient refractive index, and electromagnetic field coupling to neighboring particles [1]. Local field enhancement can be augmented by exploiting collective excitations of nanoparticles in designed periodic arrays. Engineered plasmonic infrared metamaterials have been used to increase signals in biological sensing by factors of 104-105, as in collectively-enhanced infrared absorption (CEIRA) spectroscopy [2]. We fabricate plasmonic structures with resonances in the mid-infrared and use MEMS to tune the separation between arrays of plasmonic structures over a range of several microns with a precision of ~10nm, thereby changing the electromagnetic field coupling between the arrays. We measure spectra with Fourier transform infrared spectroscopy and compare results with finite difference time domain simulations, which demonstrate resonance shifts of about 400 cm-1.
While the LSPR of conventional sensing substrates is specifically tailored to match a single selected molecular resonance normal mode, the MEMS-based device serves as a substrate for vibrational spectroscopy with tunable resonances that can span over the entire mid-infrared region, including the important fingerprint region with bond-specific characteristic infrared absorption bands. Such a device can also be used as the basis of a plasmonic spectrometer that can dynamically tune the LSPR across selected molecular vibrational normal mode spectral bands. The mechanical degree of freedom of the MEMS enables modulation of the LSPR which, when combined with phase sensitive detection methods, can be leveraged to further enhance the signal to noise ratio. This device will enable further research into collective plasmonic enhancements and serve as a versatile instrument for chemical and biological sensing.
[1] W. A. Murray and W. L. Barnes, Advanced Materials. 2007, 19, (22), 3771-3782.
[2] R. Adato et al., PNAS. 2009, 106, (46), 19227-19232.
[3] R. Adato, A. Artar, S. Erramilli, H. Altug, Nano Letters, 2013, 13, (6), 2584-2591.
9:00 AM - II8.13
Resonances and Fabrication Method of Metallic and Semiconducting Plasmonic Nanostructures
Kees de Groot 1 Yudong Wang 1 Martina Abb 2 Otto L Muskens 2
1University of Southampton Southampton United Kingdom2University of Southampton Southampton United Kingdom
Show AbstractIn recent years, there have been tremendous advances in the design and fabrication of new types of nanoscale optical elements displaying surface plasmon resonances. In this presentation we will show that advances in nanostructure fabrication methods using e-beam lithography and helium ion milling allow us to probe the plasmonic behaviour of both individual antennas and arrays of metallic and semiconducting antennas with unprecedented resolution. We investigate theoretically and experimentally the structure of plasmonic modes in individual asymmetric Au dimer antennas. Plasmonic near-field coupling of high-order modes results in hybridization of bright and dark modes of the individual nanorods, leading to an anticrossing of the coupled resonances. For two bright modes, hybridization results in a capacitive red shift and super-radiant broadening. We show that the properties of asymmetric dimers can be used for nonlinear control of spectral modes and demonstrate such a nonlinear effect by measuring the modulation of a hybrid asymmetric dimer Au/ITO antenna [1]. We have also demonstrated milling of partial antenna gaps and narrow conducting bridges with nanometer precision using a helium ion beam microscope. Single particle spectroscopy shows large shifts in the plasmonic mode spectrum of the milled Au antennas, associated with the transition from capacitive to conductive gap loading [2]. Au plasmonic antennas are sensitive to long-range transverse coupling, which limits their integration into ultra compact nanoantenna arrays or extremely subwavelength metamaterials. We show that semiconducting Indium tin oxide antennas are very suitable for applications in surface enhanced infrared spectroscopy. Because of the resulting high integration density of plasmonic hotspots per unit of area, ITO antenna arrays can deliver similar performance in surface enhanced IR spectroscopy as their noble metal counterparts [3].
[1] Interference, coupling, and nonlinear control of high-order modes in single asymmetric nanoantennas M Abb, Y Wang, P Albella, CH de Groot, J Aizpurua, OL Muskens ACS Nano 6 (7), 6462-6470 (2012)
[2] Ultrafast nonlinear control of progressively loaded, single plasmonic nanoantennas fabricated using helium ion milling Y Wang, M Abb, SA Boden, J Aizpurua, CH de Groot, OL Muskens Nano letters 13 (11), 5647-5653 (2013)
[3] Surface-Enhanced Infrared Spectroscopy Using Metal Oxide Plasmonic Antenna Arrays M Abb, Y Wang, N Papasimakis, CH de Groot, OL Muskens Nano letters 14 (1), 346-352 (2014)
9:00 AM - II8.14
Electroluminescence at High Carrier Densities: PbS Quantum-Dot Light-Emitting Field-Effect Transistors
Julia Schornbaum 1 Yuriy Zakharko 1 Florentina Gannott 1 Martin Held 1 Jana Zaumseil 1
1Friedrich-Alexander-Universitamp;#228;t Erlangen-Namp;#252;rnberg Erlangen Germany
Show AbstractThe emission properties of PbS quantum-dot (QD) solids depend strongly on excitation and carrier density. Excess charges cause Auger quenching, which leads to reduced lifetimes and emission efficiencies. However, in most optoelectronic devices based on conducting QD films, such as photovoltaic cells and light-emitting diodes, the carrier density is relatively low (10-6 to 10-4 charges per QD). Here, we present electroluminescence from PbS QD thin films at very high carrier densities (ge;1 charge per QD) that are achieved in electrolyte-gated, light-emitting field-effect transistors (LEFET).
In ambipolar field-effect transistors both holes and electrons are accumulated. Recombination of holes and electrons leads to light emission from a narrow zone within the channel region forming an LEFET. The position of this emission zone is controlled by the applied voltages. Unlike light-emitting diodes LEFET operate at very high current and carrier densities. Here, we demonstrate the first ambipolar, near-infrared light-emitting PbS-QD transistors and investigate the influence of carrier density on their emission properties. Ambipolar PbS-QD thin films were created by spin-coating and ligand exchange with 3-mercaptopropionic acid (MPA). An iongel was used for electrolyte-gating, which allowed for the accumulation of large carrier densities and efficient ambipolar transport. A side-gate device geometry enabled full optical access. We observed a narrow emission zone, electroluminescence and photoluminescence around 1500 nm, and changes to to the fluorescence lifetimes of the PbS-QDs in these devices depending on the applied gate voltages.
9:00 AM - II8.15
Directed Assembly of Isotropically and Janus-Like Functionalized Gold Nanoparticles on Metal Surfaces
Corinna Kaulen 1 Melanie Homberger 1 Ninet Babajani 2 Silvia Karthaeuser 2 Ulrich Simon 1
1RWTH Aachen University Aachen Germany2Forschungszentrum Jamp;#252;lich Jamp;#252;lich Germany
Show AbstractGold nanoparticles (AuNP) exhibit high potential for the utilization in nanoelectronic applications, e.g. sensors, diodes or switches. They represent easily accessible nanoscale building blocks with tailored surface properties.[1, 2] For applications in nanoelectronics it is essential to control the assembly of the AuNP in a defined manner and to establish reliable electrical contacts. According to this, we recently reported the directed self-assembly of amine- and carbonic acid functionalized AuNP on platinum and gold/palladium alloy,[3] which are often used as electrode materials. We showed that the selective adsorption of carboxyl- and amine terminated AuNP on only one metal surface is achieved by choosing the appropriate pH and ionic strength.
In this context, we report on the synthesis of isotropically and Janus-like functionalized AuNP. Mercaptooctanoic acid (MOA) and Mercaptophenylamine (MPA) were applied as thiol ligands to stabilize the gold core and to add the required functionality to the AuNP. We synthesized the Janus AuNP via a solid phase supported approach [4] and characterized them by pH-dependent UV-vis, DLS and zeta-potential measurements. Based on our investigations, the Janus-AuNP were deposited in a directed manner on pretreated Au surfaces to yield densely packed 2-dimensional arrays. The obtained AuNP arrays were analyzed by Infrared Reflection Absorption Spectroscopy (IRRAS). Thereby the Janus-character as well as the orientation of the AuNP on the Au surface could be demonstrated. The oriented deposition allowed us to immobilize individual Janus-like AuNP in heterometallic nanogaps.[5] In this configuration, we could show that an asymmetric current-voltage characteristic is obtained in a highly reproducible manner. This result represents a crucial step towards applications within nanoelectronic circuits.
[1] Homberger, M.; Simon, U. Phil. Trans. R. Soc., A2010, 368, 1405minus;1453.
[2] Talapin, D. V.; Lee, J.-S.; Kovalenko, M. V.; Shevchenko, E. V. Chem. Rev. 2010, 110, 389minus;458.
[3] Kaulen, C.; Homberger, M.; Babajani, N.; Karthäuser, S.; Waser, R.; Simon, U. Langmuir 2014, 30, 574-583.
[4] Sardar, R.; Heap, T. B.; Shumaker-Parry, J. S.J. Am. Chem. Soc. 2007, 129, 5356-5357.
[5] Babajani, N.; Kowalzik, P.; Waser, R.; Homberger, M.; Kaulen, C.; Simon, U.; Karthäuser, S. J. Phys. Chem. C 2013, 117, 22002-22009.
9:00 AM - II8.16
Interactions and Self-Assembly of Ultra-Small Gold Nanoparticles
Karolina Z. Milowska 1 3 Habeeb M. M. Abubaker 1 3 Markus Doeblinger 2 Jessica Rodriguez Fernandez 1 3 Jacek K. Stolarczyk 1 3
1Ludwig-Maxmilian-University Munich Munich Germany2Ludwig-Maximilians-Universitamp;#228;t Mamp;#252;nchen Munich Germany3Nanosystems Initiative Munich (NIM), Schellingstr Munich Germany
Show AbstractMetal nanoparticles possess unique chemical, optical, electrical and magnetic properties unavailable in their bulk limit. Their novel hierarchically-ordered nanoparticles assemblies attract a lot of research activity because of wide range of potential applications. We present results of extensive and systematic studies of periodically assembled (1D, 2D & 3D) ligand-protected Au nanoparticles.
Our theoretical studies are based on the ab initio calculations in the framework of the density functional theory (DFT) including van der Waals interactions on one hand and colloidal stability theory on the other hand. They provide valuable quantitative predictions that are of importance for the design of functional devices. We have performed calculations for 8 different gold clusters (Au11, Au13, Au16, Au19, Au38, Au55, Au79 and Au144) protected with different lengths of thiolate ligands (-S(CH2)nCH3, n=0,..,7). We have studied the ligand binding to the surface of Au cluster with respect to surface curvature, crystal orientation and the ligand length. Furthermore, we have calculated binding energies as the measure of the stability of those systems. For the assemblies we have also determined the dependence of interparticle interaction potential on particle separation, which is essential for studies of self-assembly process. We have considered 1D infinite chains, two types of 2D arrangements: square and hexagonal lattice, and 3D arrangements such as: fcc, bcc, hcp, AA&’ & AB hexagonal type of stacking. We have shown that fcc is energetically most preferable and have also studied the dependence of the arrangement on core size and ligand length. These theoretical predictions have been compared with experimental observations (HRTEM, X-ray Spectroscopy) obtained for synthesized Au144(SC6H13)60 nanocrystals.
9:00 AM - II8.17
Fabrication of SERS-Active Substrates by Liquid Deposition of Metallic Nanostructures on Porous Silicon
Kseniya Girel 1 Hanna Bandarenka 1 Andrei Panarin 2 Sergei Terekhov 2 Vitali Bondarenko 1
1Belarusian State University of Informatics and Radioelectronics Minsk Belarus2Stepanov Physics Institute of NASB Minsk Belarus
Show AbstractSolid substrates for surface enhanced Raman scattering (SERS) allow significantly simplifying an analyte study in contrast to liquid substrates (i.e. colloidal solutions). Such substrates usually present a passive material (glass, plastic, silicon, etc) wafers covered with metallic nanoscale structures. Effective SERS-active substrates have to show great enhancement of the Raman signal from analyte molecules adhered on their surface, its reproducibility and storage stability. Despite successful substrates can be simulated their practical use requires an experimental work including fabrication process which often consists of a number of complicated steps.
In this observation we present the results of our several years&’ work which has been devoted to a development of solid SERS-active substrates based on metallized porous silicon. We have used meso- or macroporous silicon as a template for a nanostructuring metals by their liquid deposition (electrochemical, electroless, immersion). As a result different types of metallic nanostructures have been formed including dendrites, spherical nanoparticles, nanostructured continuous films and nanovoids. Using various noble metals and hybrid metallic structures (noble-transition metals) has provided controllable managing SERS-signal intensity from rhodamine 6G and some metallic porphyrines.
It has been found that enhancement factor and detection limit of SERS-active substrates based on porous silicon can reach at least 109 and 10-12 M, respectively.
The reproducibility of the signal intensity from substrate to substrate and across the single substrate has been shown to range from 80 to 93 %. SERS-activity of the substrates has been found to be constant for at least three-six months depending on metallic nanostructure type. Further successful application requires refreshment of the metallic structure in diluted acids for several seconds.
A principal advantage of the proposed substrates is their simple fabrication which has allowed to perform a great number of the experiments devoted to their characterizing and optimization resulting in significant SERS-activity and suitability for practical use.
9:00 AM - II8.18
Plasmonically Enhanced Hot Electron Based Double Metal - Insulator - Metal Photovoltaic Device
Fatih Bilge Atar 1 2 3 Berk Berkan Turgut 2 3 Ali Kemal Okyay 1 2 3
1Bilkent University Ankara Turkey2UNAM-National Nanotechnology Research Center Ankara Turkey3Institute of Materials Science and Nanotechnology Ankara Turkey
Show AbstractIn this work, we demonstrate the use of optical antennas (Au nanoparticles) to excite surface plasmons on a semiconductor-less, hot electron based photovoltaic device and enhance the photoresponse of the device more than an order of magnitude. We use a double metal-insulator-metal (MIMIM) structure as the hot electron based photovoltaic device. The bottom MIM forms the rectifying junction and the top MIM acts as the optical antenna.
Au nanoparticles excite resonant surface plasmons at the top MIM structure and couple the incident light energy to the bottom MIM structure. The decay of the surface plasmons on the top metal of the bottom MIM excites hot electrons which diffuse in random directions. These hot electrons can tunnel through or jump over the potential barrier and collected to the bottom metal, resulting in photocurrent. With the use of Au nanoparticles on the device surface, more than an order of magnitude responsivity enhancement was measured at the resonant wavelength.
Separately forming the hot electron collection junction and plasmon excitation structure gives additional degrees of freedom to optimize the electrical and optical properties. We carry out an absorption engineering study with finite difference time domain (FDTD) simulations and investigate the plasmon excitation and decay mechanisms for structures with different types of metals and nanoantenna dimensions. Broad-band absorbers in the solar spectrum were designed for the realization of very low cost photovoltaic devices with sufficiently high efficiencies.
9:00 AM - II8.19
Enhanced Linear and Nonlinear Optical Properties of Monodispersed Silver Nanoparticles
Pemba Tsering Lama 1 Anatoliy Suslov 1 Ardie Walser 1 Roger Dorsinville 1
1City College of New York New York USA
Show AbstractMetal nanoparticles (NPs) have gained considerable attraction because of their unique optical properties induced by the collective oscillations of free electrons called a surface plasmons (SP). Surface plasmon resonance (SPR) peaks are directly related to the NPs size, shape, structure and the dielectric constants of the metal and the local environment. The bandwidth of the resonance spectrum has been attributed to size distribution, where a narrow size distribution generates a narrow bandwidth, while a wider size distribution generates a wider or broader spectrum. The resulting local field due to the surface plasmons around the nanostructures is known to enhance various optical properties of the material, such as the linear and nonlinear absorptions and the nonlinear refractive index. Moreover, a system consisting of monodispersed NPs (nanoparticles with the same parameters such as size, shape, charge etc.) is known to enhance the local field. Hence, a fabrication method that can effectively produce monodispersed NPs is important in the nanoparticles synthesis field.
Monodispersed silver (Ag) NPs were obtained by applying an electric field on unipolar charged Ag NPs fabricated using a heterogeneous condensation technique in gas media [1]. Well defined and charged Ag NPs were separated based on their sizes and were collected on quartz substrates. Thin films consisting of monodispersed Ag NPs with size ranging from 35 nm to 120 nm were prepared by varying an applied electric field during the fabrication process. Polydisperse Ag NPs were also fabricated but without the use of the size selection process in the fabrication setup and were collected, in a similar fashion, on a quartz substrate. Narrow extinction widths (~ 41 nm to ~ 69 nm) were observed compared to the width of polydispersed Ag sample.
Nonlinear optical characterizations were performed on monodispersed Ag NPs of various sizes using a picosecond Z-scan technique with excitation wavelengths of 532 nm and 1064 nm [2]. The nonlinear refraction values were higher for the monodispersed Ag NPs whose SPR peak is closer to the excitation wavelength [2]. A comparison of the nonlinear refraction index of the monodispersed and polydispersed Ag NPs showed that the nonlinear refractive index of the monodispersed Ag NPs is higher. Moreover, the fabrication method allows the tailoring of the nonlinear refraction index of the Ag NPs by tuning the SPR peak of the sample.
References:
[1] A. Suslov, P. Lama, and R. Dorsinville, Plasmonics9, 493 (2014).
[2] P. Lama, A. Suslov, A. D. Walser, and R. Dorsinville, Opt. Express22, 14014 (2014).
9:00 AM - II8.20
Electron Transfer and Emission Quenching of Colloidal Semiconductor Nanoparticles
Taro Uematsu 2 1 Akihisa Doko 1 Eisuke Shimomura 1 Tsukasa Torimoto 3 Susumu Kuwabata 1
1Osaka University Suita Japan2Osaka University Suita Japan3Nagoya University Nagoya Japan
Show AbstractSemiconductor nanoparticles in the size regime less than 10 nm show unique optical properties that are different from bulk semiconductors. The size dependent bandgap variations and high photoluminescence quantum yield at room temperature are the two well-known characteristics that make these nanomaterials useful. We synthesized several types of photoluminescent nanoparticles (CdSe, CdTe, and recently developed ZnS-AgInS2 solid solution nanoparticles) stabilized with different surface ligands. Their photoluminescence was quenched by the addition of electron acceptors and the rate of the electron transfer was investigated by means of the magnitude of quenching. The quenching was dependent on both potential gap and physical interaction between the two species; the latter come from the chemical property of ligands like charges and hydrophilic or hydrophobic natures. For example, very strong quenching (KS ~ 106 Mminus;1) was observed when the two species were oppositely charged in aqueous solution, while no quenching occurred when they have the same charges.
In a sets of experiments, we found that the strong electrostatic interaction between the nanoparticles and quenchers cause the nearly complete adsorption of quenchers. In such a situation, the rate for electron transfer can be estimated from photoluminescence intensity and lifetime. As well as the obvious potential dependence of electron transfer rate, we observed an unexpected decrease in the magnitude of quenching in the region where the potential gap was substantially large. Above findings have also been applied to chemosensors. Quenchers that change their electrical charge upon redox reaction show different quenching ability between their redox states. A glucose sensor using the redox dependent quenching in combination with enzymatic reaction is demonstrated.
9:00 AM - II8.21
Novel Graphene-Metal Nanocrystal Composites for High-Capacity Hydrogen Storage
Eun Seon Cho 1 Jeffrey J Urban 1
1Lawrence Berkeley National Lab (LBNL) Berkeley USA
Show AbstractGraphene-based materials offer a wide range of opportunities for gas transport and separation studies, due to their ultrathin and flexible characteristics with superior mechanical and chemical stability. Recently, it was reported that a single graphene and graphene oxide layer exhibits remarkable selectivity towards hydrogen molecules, caused by its intrinsic defects. Hydrogen is considered as one of the most promising clean energy technologies, and much effort has been focused on developing metal hydrides for hydrogen storage. Metal hydrides, such as magnesium and its alloys, have attracted much attention, because of its high gravimetric and volumetric hydrogen capacity, along with low cost. However, there exist some obstacles to practically utilizing these materials for onboard vehicular applications, including safety and efficiency problems, and achieving enhanced kinetics and reasonable operating temperatures. In this work, we report the synthesis of a new graphene oxide and magnesium nanocrystal composite material for hydrogen storage applications. Unlike previously reported composites of metal hydrides and carbon-based materials that are prepared by mechanical grinding, such as ball-milling, our composite was synthesized via a solution-based method. This method produces pristine magnesium at the nano-scale, which improves hydriding and dehydriding kinetics, by reducing the diffusion path length of hydrogen. Graphene layers wrap around the magnesium nanocrystals and preliminary studies show that they function as an excellent barrier to oxygen, endowing the composite with great air-stability and onboard safety. Here we present the synthesis, characterization, and hydrogen absorption and desorption properties of the composite.
9:00 AM - II8.22
Mechanical Response and Stress-Driven Fusion of Nanoparticle Superlattices
Wenbin Li 2 Hongyou Fan 3 Ju Li 1
1Massachusetts Institute of Technolgy Cambridge USA2Massachusetts Institute of Technology Cambridge USA3Sandia National Laboratories Albuquerque USA
Show AbstractWe investigate the mechanical response of alkanethiol-passivated gold nanoparticle superlattices at ambient and elevated pressures using large-scale molecular dynamics simulation. Due to the dominant roles of organic ligands in mechanical response, the nanoparticle superlattices exhibit entropic viscoelasticity during compressive deformation at ambient pressure. Applying a hydrostatic pressure of order several hundred megapascal on the superlattice, combined with a critical deviatoric stress along the [110] direction of the face-centered-cubic superstructure, can drive the sintering (“fusion”) of gold nanocrystals into ordered gold nanowire arrays. We discuss the molecular-level mechanism of the phenomenon, and map out a non-equilibrium stress-driven fusion phase diagram, which reveals a region in the phase diagram where partial fusion of nanoparticles can occur. We further demonstrate that, for silver-gold (Ag-Au) binary nanoparticle superlattices in sodium-chloride type superstructure, stress-driven fusion along the [100] direction leads to the formation of Ag-Au multi-junction nanowire arrays.
9:00 AM - II8.24
Effects of Nanoscale Au||TiO2 Interfacial Structure on SPR-Driven Photocatalytic Activity at Au-TiO2 Aerogels
Paul A DeSario 1 Todd H Brintlinger 2 Jeremy Pietron 1 Rhonda M Stroud 2 Ryan Compton 3 Jeffrey C Owrutsky 3 Debra R Rolison 1
1Naval Research Laboratory Washington USA2Naval Research Laboratory Washington USA3Naval Research Laboratory Washington USA
Show AbstractLocal surface plasmon resonances on ~5-nm Au nanoparticles inserted into TiO2 aerogel photocatalysts (Au-TiO2) improve absorption overlap with the solar spectrum and sensitize water-splitting reactions at visible wavelengths. We previously demonstrated that composite aerogels in which metal nanoparticles are added just before the matrix begins to gel (“3D” synthesis [2]) show improved sensitization of visible-light-driven photocatalytic activity compared to aerogels in which metals are added after aerogel formation (“DP” synthesis) [1]. In this study, we examine how the interfacial arrangement between the metal nanoparticles and mesoporous oxide network affects sensitization efficiency and leads to differences in photocatalytic activity.
The Au||TiO2 interfaces in the 3D and DP aerogel morphologies were investigated with TEM. Tomographs were compiled from a bright-field image tilt series in order to qualitatively and quantitatively characterize the interface arrangements in three dimensions. Photocatalytic reactivity of both types of composites were measured via photochemical and photoelectrochemical reactions to demonstrate the link between the interfacial arrangement and the subsequent photoefficiency for photooxidation or photoreduction. Time-resolved IR spectroscopy was used to gain preliminary insight into the plasmonic excitation mechanisms operational at the Au||TiO2 interface.
[1] J.J. Pietron, R.M. Stroud, D.R. Rolison, Nano Letters, 2 (2002) 545
[2] P.A. DeSario, J.J. Pietron, D.E. DeVantier, T.H. Brintlinger, D.R. Rolison, Nanoscale, 5 (2013) 8073.
This work is supported by the Office of Naval Research.
9:00 AM - II8.25
Plasmonic Properties of Au Nanoparticles Conjugated To ZnO Tetrapods
Filippo Fabbri 1 Giovanni Bertoni 1 Laura Lazzarini 1 Marco Villani 1 Davide Calestani 1 Nicola Castagnetti 2 Silvija Gradecak 3 Stuart Turner 4 Alessandra Catellani 1 Andrea Zappettini 1 Gustaaf Van Tendeloo 4 Giancarlo Salviati 1
1IMEM-CNR Parma Italy2University of Parma Parma Italy3Department of Materials Science and Engineering, Massachusetts Institute of Technology Boston USA4EMAT, University of Antwerp Antwerp Belgium
Show AbstractThe conjugation of semiconductor nanostructures with plasmonic metal nanoparticles leads to a tailoring of the electronic and optical properties. In addition metal nanoparticles conjugation induces an enhancement of the sub-bandgap absorption of wide band-gap semiconductor. Here we successfully demonstrate the electromagnetic coupling of zinc-oxide nanotetrapod conjugated with gold nanoparticles, making use of electron microscopy based techniques, as cathodoluminescence (CL) and electron energy loss (EEL) spectroscopies and imaging.
The zinc oxide (ZnO) tetrapods were synthesized according to a previous work (L. Lazzarini et al. ACS Nano, 3, 3158 2009) and Au nanoparticles were then grown directly on their pods following an innovative photochemical route that involves the reduction of Au3+ at the ZnO surface. In this way no capping-agents, surfactants, or additional reducing agents are needed, obtaining free-standing Au nanoparticles. Such particles are 20-30 nm diameter approximately and result close to spherical shape, with a flat region of contact with the zinc oxide cylindrical pod wall as evidenced by HRTEM imaging.
CL spectroscopy and imaging, performed in a transmission electron microscope reveal two emissions set at 3.3 eV and 2.5 eV related to the ZnO near-band edge emission and to the gold nanoparticles surface plasmon, respectively. It is worth noting that we were able to detect the ZnO NBE emission inside the nanoparticles, suggesting a possible electromagnetic coupling.
In order to visualize the individual coupling between an Au nanoparticle and ZnO we employed EEL in a transmission microscope (spatial resolution <1nm). The EEL spectra were acquired in spectrum imaging mode on a FEI Titan “cubed” microscope equipped with a monochromator and Gatan Enfinium spectrometer (DE = 0.25 eV in the experiment), by mapping a region with an Au particle facing vacuum on one side and the ZnO on the other side. The spectra show the typical Au surface plasmon (SP) at 2.4 eV, enhanced at the Au/vacuum side interface, as a result of the induced electromagnetic field, and suppressed at the Au/ZnO interface. A slight enhancement is visible also at 3.5 eV corresponding to the energy position of the surface exciton from ZnO.
Simulations of EEL spectra/maps obtained using the NMPBEM software (U. Hohenester and A. Trügler, Comp. Phys. Commun. 183, 370 2012) confirms the SP at the Au/vacuum side interface is increasing as the Au particle gets close to the ZnO pod.
Acknowledgements: We acknowledge EU FP7 Grant Agreement 312483 ESTEEM2 (Integrated Infrastructure Initiative-I3)
9:00 AM - II8.26
Surface Plasmon Resonance (SPR)-Assisted Photodetector Using Heterostructures of Au Nanoparticles(NPs) and ALD-Grown Tio2 Nanotubes(NTs)
Myungjun Kim 1 Hyunchul Kim 1 Seonhee Lee 1 Shulan An 1 Seulky Lim 1 Seongrok Seo 1 Thi Anh Ho 1 Changdeuck Bae 1 Hyunjung Shin 1
1Sungkyunkwan University Suwon Korea (the Republic of)
Show AbstractNanocomposites of noble metal nanoparticles and dielectric materials have been recently attracted a great deal of attentions owing to their fascinating properties, in particular, surface plasmon resonance (SPR) arising from collective oscillation of free electrons on the surface of metal nanoparticles coupling to incident photons. Above all, Au/TiO2 heterogeneous nanostructure is a representative wide-spread system for both academic interests and practical applications utilizing SPR. It is well known that plasmonic resonant frequency of Au nanoparticles (NPs) can be controlled with its size, geometry, and inter-particle distances as well as environmental conditions. Detecting photons has been playing a major role in optical techniques such as spectroscopy and optical communications. However, sensitivity and response time of conventional silicon-based devices limits accurate photon detection and further extensive applications.
Here we report SPR-based photodetector made of Au NPs and TiO2 nanotube (NT) arrays. Well-designed crystalline anatase-TiO2 NTs with smooth surface morphology were fabricated via low-temperature template-directed atomic layer deposition (ALD), followed by thermal treatments. Using a thermal precipitation method, Au NPs with desired size distributions were deposited directly onto vertically well-aligned TiO2 NT arrays. Our SPR-assisted photodetector exhibits high selectivity of detecting wavelength by controlling the size and distribution of Au NPs on the surface of TiO2 NTs. It will suggest new alternatives of the photodetectors for IR-visible range.
9:00 AM - II8.27
Nano-Bismuth for Spectrally Selective Active Plasmonic Devices
Miguel Jimenez de Castro 2 Johann Toudert 2 3 Fatima Cabello 2 Rosalia Serna 2 Emmanuel Haro-Poniatowski 1
1Universidad Autamp;#243;noma Metropolitana Iztapalapa Mamp;#233;xico DF Mexico2Instituto de Optica, CSIC Madrid Spain3Centre de Recherche Paul Pascal, UPR 8641, CNRS - Universitamp;#233; de Bordeaux Pessac France
Show AbstractThe next generation of nanophotonic devices demands the development of “active” plasmonic components that will couple the spectral selectivity of plasmonic modes with the possibility of modulating their optical response by applying external stimuli [1]. In this context, plasmonic materials whose optical response can be switched with a strong contrast upon phase changes offer a very attractive potential [2]. Bismuth (Bi) is a low cost material presenting a relatively low bulk melting point (271.3 0C). Solid and liquid Bi display in the visible and near IR, an interband-induced plasmonic behavior [3] and a Drude plasmonic behavior [4], respectively, with a relevant contrast between the dielectric functions of the two phases. Nevertheless, the potential of Bi for active plasmonic applications remains unexplored.
We propose a method to obtain spectrally selective thermally-tunable optical filters based on the change of the plasmonic optical response of Bi nanoparticles (NPs) upon reversible melting/solidification phase change. The filter concept is based on Bi NPs embedded in a robust matrix. Upon heating-cooling cycles around the melting point of Bi, the NPs melt and solidify reversibly while remaining encapsulated by the matrix. Experimental measurements of the optical transmission spectra of spherical Bi NPs embedded in a germanate glass show a significant optical contrast upon melting of the NPs over a wide wavelength range (400- 1600 nm). The origin of this wavelength dependent-contrast has been successfully modelled and correlated with the change in the Bi dielectric function upon melting. The tuning of the related-plasmonic response can be finally achieved by selecting suitable matrices and by tailoring the shape, size and organization of the Bi NPs. As a result it is possible to design Bi NPs-based nanostructures that show a strong shift upon melting of the plasmonic-related resonant features leading to relative contrast values as high as 40%, therefore paving the road for the development of efficient active plasmonic optical components.
[1] K. F. MacDonald and N. I. Zheludev, Laser & Photonics Reviews 4, 562 (2009).
[2] Gholipour et al., Advanced Materials, 25, 3050 (2013).
[3] J. Toudert, R. Serna, and M. Jiménez de Castro, J. Phys. Chem. C 116, 20530 (2012).
[4] S. Dogel, D. Nattland, and W. Freyland, Phys. Rev. B 72, 085403 (2005).
9:00 AM - II8.28
Diffusion of Excitons and Free Charges in PbS Quantum Dot Solids
Rachel S Hoffman 1 Mark C Weidman 1 Pooja Tyagi 1 William A Tisdale 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractPbS colloidal quantum dots (QDs) are a promising material for infrared optoelectronic devices. Understanding the diffusion of energy, and the closely related exciton lifetime, in QD solids is crucial to designing more efficient devices. Photoluminescence lifetimes in QDs in solution are over 1µs, but in thin films, they are much shorter, on the order of nanoseconds to 100s of nanoseconds. This decrease in the exciton lifetime can be explained by the presence of diffusion; in coupled QD solids, excitons (or free charges) created on nearby QDs can diffuse to a common QD and recombine via Auger recombination. Thus, Auger recombination occurs at much lower excitation densities for QDs in thin films than in solution, and represents a significant loss mechanism in QD solids. This work studies thin films of highly monodisperse (<5%) PbS QDs in which interparticle spacing is systematically controlled by varying the ligand length. A combination of transient absorption and time-resolved photoluminescence are used to distinguish between excitons and free charges. The PL and TA band edge lifetimes are measured as a function of exciton fluence, and the onset of Auger recombination is used to determine the exciton diffusion length. Dynamics are compared for excitation at the band edge and at high energy in the continuum of states.
9:00 AM - II8.29
Surface Ligand Microstructure, Organization and Reactivity: Investigating the Inorganic-Organic Medium Interface in Colloidal Quantum Dots
Elham Tavasoli 1 Javier Vela 1
1Iowa State University Westbury USA
Show AbstractOver the past decades, there have been several studies on shape and morphology of quantum dots (QDs) but not many groups focused on the surface ligand packing and organization. Therefore, a thorough understanding of the composition and morphology of the ligand shell is essential for controlling the properties and behavior of nanocrystals. Herein, using DOSY spectroscopy we demonstrate that a dynamic equilibrium exists between bound and free ligands. The ligands are tightly bound to the particle surface when they are dispersed in a ligand-free solvent, while they rapidly exchange when an excess of free ligands are present in solution. We show that carboxylic acid head group is responsible for this type of exchange at inorganic-organic interface. Removing the head group simply removes the rapid cap exchange and results in distinguished diffusion coefficient for the free and bound ligands. We also demonstrate that bound and free ligands have strongly different NOE spectra wherein only bound ligands develop strong and negative NOEs. We used one-dimensional and two-dimensional NMR as a powerful tool to determine the ligand shell structure of a series of particles capped with mixture of C10-N3, C10-Me, C16-N3 and C16-Me ligand. ROESY analysis shows that particles have a homogeneous coating, when capped with ligand composition of same length, while they show a raft structure composed of phase-separated domains when ligand shell is constituted of ligands of different length. Furthermore, we demonstrate that surface ligand organization can affect the reactivity of quantum dots. Formation of rafts as a result of packing ligands of a same length, increases the local concentration of reactive terminal group and facilitate the chemical reactivity at the surface of quantum dots. We conclude that solution-state NMR is a very powerful tool to characterize colloidal nanocrystal dispersions stabilized by organic ligands. This study can provide a new avenue to understand the organic/inorganic boundary of other and more complex nanoparticle/ligand systems.
9:00 AM - II8.30
Plasmonic Enhancement of Quantum-Dot Light-Emitting Diodes Using Gold Nanorods
Nam-Kwang Cho 1 Seong Jun Kang 1
1Kyung Hee University Yongin-si Korea (the Republic of)
Show AbstractPlasmonic enhanced quantum-dot light emitting diodes have been fabricated by inserting Au nanorods at the interface of the devices. Patterned indium-tin-oxide (ITO) was used as a transparent anode. And Au nanorods (length: 45 nm and width: 20nm) were spin coated on the surface of ITO electrode for the plasmonic structure. Poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) (PEDOT:PSS) was coated as a hole injection layer, and Poly[(9,9-dioctylfluorenyl-2,7-diyl)-co-(4,4&’-(N-(4-sec-butylphenyl)diphenylamine)] (TFB) was used as a hole transport layer. Then CdSe/ZnS QDs was spin coated as an emission layer, and TiO2 was coated on the QDs as an electron transport layer. Finally, 150 nm of aluminum cathode was formed using thermal evaporator and shadow mask. The devices with Au nanorods showed enhanced electroluminescence properties compared to the devices without plasmonic nano-structure. The increase of ~240 % in electroluminescence intensity was observed. The device characteristics and origin of the improvement will be presented in detail. The results would provide a promising way to develop high-performance quantum-dot light emitting diodes.
9:00 AM - II8.31
Investigation of the Effect of Polyol Amount on FeCo@Ag Magnetic-Plasmonic Hybrid Nanoparticle Synthesis
Mari Takahashi 1 Rishika Rastogi 1 Priyank Mohan 1 Derrick Mott 1 Shinya Maenosono 1
1Japan Advanced Institute of Science and Technology Nomi Japan
Show AbstractFeCo nanoparticles (NPs) have the highest magnetic saturation out of all magnetic materials so that the applications of FeCo NPs for many fields are expected. Contrary to this attractive point, the synthesis of FeCo NPs is difficult because they are easily oxidized. The advantage of polyol synthesis method contributes to oxidation resistance of FeCo. In addition to that we can combine Ag as a shell on FeCo core NPs in a one-pot polyol synthesis and utilize extremely unique plasmonic property of Ag for an imaging and sensing probe. Here we used modified polyol method to synthesize FeCo NPs having good magnetic properties using 1,2-hexadecanediol and tetraethyleneglycol (TEG) as reducing agents and solvent under Ar and H2 atmosphere. The average diameter and mean crystalline size of FeCo NPs were investigated by TEM and XRD with changing the amount of TEG and reaction time. The composition of the resulting NPs was characterized by ICP-OES. Furthermore the magnetic property of the NPs were investigated by superconducting quantum interference device (SQUID). Interestingly resulting NPs showed FeCo phase when TEG amount was large while it showed oxide phase when TEG amount was small. We closely investigated this boundary in which phase transition from oxide phase to FeCo phase takes place by changing amount of TEG. Finally we synthesized magnetic-plasmonic FeCo@Ag core shell NPs using optimized condition.
9:00 AM - II8.32
Fabrication and Application of a Plasmonic Photosynthetic Device Utilizing Unique Metal Nanostructures
Dayton T Horvath 1 Martin Moskovits 1 Galen Stucky 1
1University of California Santa Barbara Goleta USA
Show AbstractThe need to renewably store and utilize energy from chemical bonds has grown with recent economic and environmental concerns. Plasmonically-enabled devices have shown promise in various photosynthetic processes due to their scalable, cost-effective, and robust performance. Utilizing charge carriers derived from surface plasmons, these devices can drive various photoelectrochemical (PEC) reactions, however at limited efficiencies due to incomplete solar absorption. To improve broadband solar absorption, unique geometries that shift and expand the plasmon resonance of the metal nanostructures in the visible are synthesized using a confined mesoporous silica template. Preliminary results indicate that helical metal nanowires and related structures are better suited than similarly synthesized nanorods for visible light absorption, and for PEC reactions due to surface area benefits. Characterization by UV-Vis absorbance, electron microscopy, and PEC studies indicate that this templated method can be utilized as the basis for synthesizing a variety of unique plasmonic materials for applications including energy storage, sensing, and catalysis.
9:00 AM - II8.33
Synthesis and Plasmonic Properties of Gold Nanoplates with Controllable Lateral Sizes and Thicknesses
Feng Qin 1 Tian Zhao 1 Jianfang Wang 1
1The Chinese University of Hong Kong Hong Kong Hong Kong
Show AbstractAmong various anisotropic Au nanocrystals, Au nanoplates offer more attractive plasmonic features. Their sharp corners and straight edges offer large electric field enhancements. Their crystalline nature, which offers low plasmon damping, and flat, large-area surfaces together make them attractive building blocks for constructing plasmon-based optical devices and creating metasurfaces with controllable light transmission and reflection properties. Although many methods have been reported for the controllable synthesis of such Au nanocrystals, deliberate control of their sizes has remained difficult. In particular, to the best of our knowledge, there have been no reports on the control of the thickness of Au nanoplates. In this regard, we have performed systematic synthetic studies of Au nanoplates and their size-dependent plasmonic properties.
Au nanoplates are first prepared by a modified seed-mediated growth method aided with purification through depletion force-induced self-separation at an optimized surfactant concentration. The purified Au nanoplates are ended with circular disk shapes due to an oxidative etching process. Overgrowth on the rounded Au nanoplates and anisotropic oxidation on the overgrown nanoplates are then carried out. The lateral overgrowth on Au nanoplates is dominant at the first stage, while the vertical overgrowth follows with the further addition of the gold precursor. The shrinkage of the lateral sizes with fixed thicknesses can also be realized through a selectively anisotropic oxidation for the resultant nanoplates. Our approach is therefore capable of preparing Au nanoplates of any desired aspect ratio with thicknesses in the range of 10 nm to 60 nm. The variations in the morphologies and plasmon resonances of the nanoplates are systematically investigated by SEM, AFM and spectroscopy. The highly controllable synthesis of Au nanoplates with narrow size distributions is promising for elegantly engineering the plasmonic properties of Au nanocrystals, which can facilitate their use in optics, optoelectronics, and biotechnology.
9:00 AM - II8.34
Small Au Nanorods with Large Absorption Cross-Sections and their Application in Photothermal Therapy
Henglei Jia 1 Caihong Fang 1 Jianfang Wang 1
1The Chinese University of Hong Kong Hong Kong China
Show AbstractGold nanocrystals have attracted much attention in photothermal imaging, cancer therapy, and drug delivery due to their attractive plasmonic photothermal conversion properties. However, the strong light scattering capability possessed by large Au nanocrystals limits their application in these fields. Because the scattering cross-section of metal nanoparticles is roughly proportional to the sixth power of the particle size, the extinction of small Au nanocrystals is dominated by absorption, with their photothermal conversion efficiencies being close to 100%. Therefore, small Au nanocrystals are attractive candidates for photothermal applications. On the other hand, although small Au nanospheres can be readily prepared, their plasmon wavelengths are located around 520 nm in aqueous solutions, showing little tunability. As the biological transparency window is from 650 nm to 900 nm, the fact that the plasmon resonance wavelengths of Au nanospheres are out of this window poses a disadvantage for many biomedical applications. Therefore, synthesis of absorption-dominant gold nanocrystals with tunable plasmon resonance wavelengths is highly desirable. Herein, we present the growth of small absorption-dominant gold nanorods using a simple seed-mediated growth method. The diameters of the small gold nanorods range from 6 nm to 9 nm and their lengths vary from 16 nm to 45 nm. Their longitudinal plasmon resonance wavelengths are facilely tunable from 720 nm to 830 nm by changing the volume ratio of the seed to the growth solutions.
The fractions of the scattering in the total extinction of the small gold nanorods are found from finite-difference time-domain calculations to be in the range of 0.005 to 0.03, confirming that the extinction of these small gold nanorods is dominantly contributed by the absorption. Therefore, the small gold nanorods can minimize the side effects caused by light scattering and are attractive for photothermal therapy. In addition, the small gold nanorods are found to exhibit much larger two-photon absorption action cross-sections than those of large gold nanorods with same longitudinal plasmon wavelengths. Moreover, one small and one large gold nanorod sample with the same longitudinal plasmon wavelength close to 808 nm are coated with dense silica and employed for photothermal therapy with three cell lines. Under the same uptake amount, the small gold nanorod sample shows an improved photothermal therapy performance in comparison with the corresponding large gold nanorod sample for all of the three cell lines. For MDA-MB-435S cells, the photothermally killing effect is two times that of the large gold nanorod sample. Taken together, our results suggest that small gold nanorods can function as a promising agent for photothermal therapy and two-photon imaging.
9:00 AM - II8.35
Laser Induced Photo-Thermal Sintering of Silver Nanoparticle Enhanced by Surface Plasmon Resonance
Dongwoo Paeng 1 Daeho Lee 2 Costas P Grigoropoulos 1
1UC Berkeley Albany USA2Gachon University Seongnam Korea (the Republic of)
Show AbstractLaser direct writing combined with a solution-based metallic nanoparticle ink is a facile process for a non-vacuum, maskless patterning method in making electronic components. In this laser direct writing process, laser is used as a localized heat source and combined with a melting temperature depression nature of the metallic nanoparticle due to high surface to volume ration, low temperature processing readily applicable to the flexible electronics is possible. One interesting feature of metallic nanoparticle smaller than the wavelength of visible light is its surface plasmon resonance(SPR). At certain wavelength photon energy to thermal energy conversion is more efficient due to the surface plasmon resonance at this wavelength. This effective thermal energy conversion will induce an efficient photo-thermal sintering of metallic nanoparticle. Thus choosing the optimized laser parameters in processing metallic nanoparticle is essential factor for the effective laser-sintering. Through the combinatorial study on laser wavelength, power, scanning speed with silver nanoparticle, electrical and mechanical properties of electrodes from laser-sintered silver nanoparticle will be presented
9:00 AM - II8.36
Comprehensive Study of the Interparticle Spacing in Ligand-Exchanged Lead Sulfide (PbS) Nanocrystal Thin Films
Mark C Weidman 1 William A Tisdale 1
1MIT Cambridge USA
Show AbstractLead sulfide (PbS) nanocrystals have a size-dependent, tunable bandgap in the infrared region, making them particularly interesting for photovoltaics, photodetectors, and infrared communication. In many of these applications it is desirable to replace the long, insulating native surface ligands with more compact and functional ligands via a solid state ligand exchange. In this work, we have synthesized highly monodisperse PbS nanocrystals (size dispersity less than 3.5%) with core diameters of 5.5, 6.5, and 7.5 nm. We then measured their interparticle spacing in thin films using grazing-incidence small-angle X-ray scattering (GISAXS) and grazing-transmission small-angle X-ray scattering (GTSAXS) for a variety of common and device-relevant ligand exchanges. Included in the ligand species studied is a series of monothiols of varying chain length and a series of dithiols of varying chain length. As a result of the nanocrystal monodispersity and core size tunability, we can extract accurate values for the experimental interparticle spacing achieved using these various ligand treatments. We have compared these results for two different deposition techniques - spin coating many thin layers with 30 second ligand exchanges in between layer deposition and spin coating a single, thick film followed by a 24 hour ligand exchange. We find significant differences between the two methods in terms of the interparticle spacing which can be achieved. Furthermore we find that it is possible to maintain some degree of ordering in these films even when exchanging the native ligands for much more compact ligands. This study sheds light on how to effectively control interparticle spacing in real devices and can be used to improve the accuracy of computational models for studying transport phenomena in nanocrystal thin films.
9:00 AM - II8.37
Flexible Surface Enhanced Raman Scattering Sensors Based on Gold Nanostar Arrays Embedded in Silicon Rubber Film
Seungyoung Park 1 Jiwon Lee 1 Hyunhyub Ko 1
1Ulsan National Institute of Science and Technology Ulsan Korea (the Republic of)
Show AbstractIntegration of surface enhanced Raman scattering (SERS) sensors onto flexible substrates enables lightweight and deformable SERS sensors which can be wrapped or swabbed on various non-planar surfaces for the collection and detection of analytes. However, the development of the flexible SERS substrate with high sensitivity is still challenging. Here, we demonstrate the highly sensitive and flexible SERS substrate based on the polydimethyl-siloxane (PDMS) film containing self-assembled arrays of gold nanostars (GNSs). Here, the inter-particle couplings between the high density GNSs and lightening rod effects of sharp tips of GNS contribute to the enormous Raman enhancements. While we don&’t observe any noticeable Raman peak of analytes on metallic and dielectric surfaces such as Ag film and Au film, silicon, glass, we observe the strong enhancement of Raman peak intensity of analytes when the flexible SERS substrates are covered onto those substrates. In particular, when the flexible SERS substrates are covered onto metallic surfaces, the SERS enhancement is greatly improved due to the additional plasmon coupling between GNS and metal film. We achieve the highest SERS Enhancement factor (~1.9 x 109) for flexible SERS substrates on Ag film. The flexible SERS substrates introduced in this study is applicable to various SERS sensing applications on non-planar surfaces, which are not achievable for hard SERS substrates.
9:00 AM - II8.38
Analysis of the Polarization Dependent SERS Effect on Single Ordered Arrangements of a Few Au Nanoparticles
Kathrin Kroth 1 Thomas Sander 1 Limei Chen 1 Tianrui Zhai 2 Xinping Zhang 2 Peter J. Klar 1
1Justus-Liebig University of Giessen Giessen Germany2Beijing University of Technology Beijing China
Show AbstractSurface-enhanced Raman spectroscopy (SERS) allows one to detect analytes on single-molecule level. The high sensitivity of SERS is based on the antenna action of metal nanostructures. The electric field of the impinging excitation light as well as the locally scattered light interact with the free carriers of the metal nanostructures leading to plasmonic excitations of the nanoparticle arrangement. As a result the electric field in the gaps between the nanoparticles (hot spots) is enhanced by several orders of magnitude. The Raman signal is to a first approximation proportional to the fourth power of the electric field. Thus, if a molecule is located at such a hot spot, its Raman signal will become detectable as the local Raman enhancement can be of the order of 1012. The Raman enhancement achieved locally for a fixed excitation wavelength depends on properties of the metal nanostructures used (i.e. kind of metal, size, and shape) as well as on their spatial arrangement relative to the polarization vector of the incident radiation. SERS-substrates out of Au nanoparticles can be fabricated by combining top down nanofabrication with self-assembly of nanoparticles. Using electron-beam lithography cavities of different size and shape can be produced at defined positions in PMMA films on planar substrates. Au nanoparticles from colloidal suspensions are arranged inside PMMA cavities by self-assembly in a horizontal dip coating process. The resulting Au nanoparticle arrangement at each location on the substrate is to a large extent determined by the shape of the cavity, e.g. allowing one to define antenna structures such as pairs of nanoparticles, linear chains or triangular arrangements out of three nanoparticles in a controlled way. In order to study the Raman enhancement of the well defined nanoantennas with respect to the polarization vector of the incident radiation, a thiophenol monolayer has been deposited on the substrates. Polarization dependent measurements of the Raman spectra of single arrangements of a few Au nanoparticles are obtained and the angle dependence of the Raman intensities is analyzed in terms of the local Raman enhancement. To achieve a better understanding of the underlying processes the experimental results are discussed with respect to finite-element simulations of the local field enhancement within the Au nanoparticle arrangement.
9:00 AM - II8.39
Highly Bendable AgNWs Embedded in Colorless Polyimide Coated by ZnO Layer for Flexible Transparent Electrodes
Dasom Lee 1 Il-Doo Kim 1
1KAIST Daejeon Korea (the Republic of)
Show AbstractAs flexible devices become popular, there is an increasing need for developing flexible transparent electrodes. The material traditionally used for transparent electrodes (ITO) is not appropriate for flexible transparent electrodes because it is brittle. Instead of ITO, random networks of silver nanowires (AgNWs) on flexible substrates are the most promising replacement to achieve flexible transparent electrodes due to their ductility and conductivity. However, AgNWs tend to be easily detached from their substrates by external stimuli, and they are vulnerable to damage from heat, oxygen and water. To solve these problems, in the last few years, several studies have been devoted to embedding AgNWs into flexible substrates. However, the desired level of thermal stability and durability appears to be lacking from those proposals for flexible electrodes.
To fabricate highly bendable and durable flexible transparent electrodes, in this study, we devised AgNWs embedded under the surface of a colorless polyimide film and subsequently coated by ZnO layer. Polyimide has a number of advantages including high mechanical strength, lightness, electrical insulating property, flexibility and heat stability, but has not yet been applied to flexible substrates because of its typical yellow color. Therefore, we fabricated colorless polyimide (CPI) to use as a flexible substrate of transparent electrodes. As a method for embedding AgNWs into CPI, we employed KOH solution to break out the imide rings which keep CPI strong. CPI with broken imide rings is soft, and in this state, we embedded AgNWs into it. After that, the imide rings are closed again through re-imidization by heating. We also coated AgNWs embedded CPI by a sputtered ZnO layer to enhance heat resistance.
AgNWs embedded in CPI coated by ZnO layer, showed 12 Omega;/sq sheet resistance which is lower than 17 Omega;/sq sheet resistance of AgNWs transferred onto CPI at 75 % transparency. This is attributed to the fact that during heating for re-imidization, the contacts between AgNWs were welded together, thereby lowering contact resistance. In addition to that, the sheet resistance of AgNWs embedded in CPI coated by ZnO layer hardly increased after 12 hours at 235 °C because the CPI and ZnO covers the AgNWs, whereas the sheet resistance of AgNWs transferred onto CPI increased dramatically. Bending-test results of the new material showed significant bending resistance, in which the sheet resistance doubled when it was bent 6,000 times. Furthermore, the AFM results showed that AgNWs embedded in CPI coated by ZnO layer lower roughness compared to AgNWs transferred onto CPI. The aforementioned properties (e.g., low sheet resistance, high heat resistance, high bending resistance and low roughness) are valuable when the flexible transparent electrodes are used in OLEDs, which have a harsh operating environment. Such AgNWs embedded in CPI coated by ZnO layer, are expected to increase the performance of OLEDs.
9:00 AM - II8.40
Au Nanobipyramid-Directed Growth of Highly Monodisperse Ag Nanorods and Their Multipolar Plasmonic Properties
Xiaolu Zhuo 1 Xingzhong Zhu 1 Qian Li 1 Jianfang Wang 1
1The Chinese University of Hong Kong Hong Kong Hong Kong
Show AbstractThe multipolar plasmon resonances of metallic nanostructures have received considerable interest recently because of their low radiative damping and narrow extinction linewidth, which bring great potential in applications such as sensing and nanolasing. Long metallic nanorods can support multipolar plasmon resonances like Fabry-Pérot resonators, with a relatively clear relationship between the rod length and resonance wavelengths, making them an ideal candidate for studying multipolar plasmon behaviors and further exploring multipolar plasmon-based optical devices as well as applications. However, most of chemically synthesized metallic nanorods suffer from inhomogeneous broadening, especially when the aspect ratio gets larger. Therefore, most of previous studies have focused on single-particle characterization and/or low-order resonance modes.
Herein, we present a method for the preparation of size-controllable monodisperse Ag nanorods through anisotropic Ag overgrowth on Au nanobipyramids. The nanobipyramids are obtained from seed-mediated growth with controllable sizes and purified with particle yields approaching 100%. The size parameters of the Au nanobipyramid-directed Ag nanorods can be tuned independently: the length can be controlled from ~100 nm to ~2 µm by the amount of the silver precursor in the overgrowth process, while the diameter is varied from ~20 nm to ~50 nm by use of Au nanobipyramids of different sizes. The resonance wavelength of each multipolar mode varies from the visible to near-infrared region. The high purity and homogeneous dimensions of these Ag nanorods allow us to observe well-separated higher-order multipolar resonances in colloidal suspensions. In addition, we have also examined the multipolar plasmon resonance on the single-particle level by dark-field scattering spectroscopy. The multipolar resonance conditions obtained in our experiments show good agreement with theoretical prediction and electrodynamic simulations.
9:00 AM - II8.41
Electron Beam-Induced Current Measurement of Minority Carrier Diffusion Length in PbS Quantum Dot Films
Paul Rekemeyer 1 Sehoon Chang 1 Gyu Weon Hwang 2 Moungi Bawendi 2 Silvija Gradecak 1
1Massachusetts Institute of Technology Cambridge USA2Massachusetts Institute of Technology Cambridge USA
Show AbstractColloidal quantum dots (CQDs) composed of PbS are an attractive material for the development of photovoltaic (PV) cells that are processable in solution at room temperature, making such devices potentially inexpensive while allowing power conversion efficiencies above 8%. However, there is a trade-off between current extraction and light absorption in optimizing CQD film thickness, primarily due to the relatively short carrier diffusion length in the CQD films. Measuring this figure of merit is therefore important both for the design of more efficient devices and for understanding the effect of various surface chemistries on minority carrier transport.
The electron beam-induced current (EBIC) method of measuring the minority carrier diffusion length in semiconductors was employed on CQD films for the first time. Focused ion beam (FIB) milling in a dual-beam FIB-SEM was used to prepare cross-sections of planar p-n junction PV devices with an active layer composed of PbS CQDs capped with 1,3-benzenedithiol (BDT) ligands. EBIC measurements were then performed at short circuit conditions to measure the diffusion length of minority electrons through the PbS CQD film to the p-n interface. Fitting the 1D EBIC profile as a function of distance from the interface reveals an electron diffusion length of ~50 nm, which is comparable to the highest reported minority carrier diffusion length in PbS CQD films capped with organic ligands.
EBIC is a general technique that can be used to measure the minority carrier properties of both traditional bulk semiconductors and nanostructured semiconductors such as CQD films. Future work will focus on using EBIC to measure the minority carrier properties of PbS CQD films with different ligand chemistries, and on separating the contributions of carrier lifetime and mobility to variations in diffusion length.
9:00 AM - II8.42
Ultrathin Au Nanowires: Anchored Growth and Packaging for Enhanced Stability
Subhajit Kundu 2 Leelavathi Annamalai 1 Giridhar Madras 1 N. Ravishankar 2
1Indian Institute of Science Bangalore India2Indian Institute of Science Bangalore India
Show AbstractUltrathin Au nanowires (~2 nm diameter) are potentially useful for several applications. A clean interface and stability is required for realizing many of these potential applications. However, extreme fragility of the Au nanowires on polar solvent cleaning and its hydrophobicity due to associated linkers limit its use in their as-synthesized form. We have developed a general strategy for dense, particle-free growth of these nanowires at room temperature directly on substrates that imparts stability to the wires. A method for thin, preferential SiO2 coating on nanowires has been developed which imparts enhanced thermal stability to Au nanowires.
Growth of nanowires on carbon, glass and polymer (flexible) based substrates which have high interfacial energy with Au indicates toward the role of in-situ functionalization in dense coverage of nanowires over them. Control experiments show the role of oleylamine in anchored growth of nanowires. XPS and AFM further confirm its role. Detailed study of growth mechanism indicates the crucial role of temperature which dictates the oleylamine coverage and hence Au nanowire density. This paves the way for better understanding of the optimum criterion for dense nanowire growth on substrate. The method was further extended to grow nanowires on alumina beads (reusable catalyst) and reduction catalysis of 4-nitrophenol with NaBH4 was studied. Excellent catalytic performance was shown with nominal amount of Au (ICP-MS was done). Dense growth over large area enabled lithography free device fabrication over kapton. Contact pads separated by ~1 mm away could be bridged by growing particle free nanowires across them.
Poor thermal stability of these ultrathin Au nanowires limits its use to low temperature applications only. Hence, proper packaging of nanowires is required for use in high temperature applications. In that context a simple wet-chemical method has been developed to grow a shell of mesoporous SiO2 and TiO2 over the nanowires. The thickness of the SiO2 layer could be tuned very easily by varying the reaction time. Coating thickness as small as a few nanometers could be obtained. A comparative in-situ TEM thermal stability study has been carried out on the bare and SiO2 coated nanowires. Bare nanowires had been drop-casted on the same grid as that of the SiO2 coated nanowires to perform the experiment under similar conditions. The temperature was increased from R.T. to ~553oC in steps over a period of 4-5 hours. The non-coated nanowires break into nanoparticles at very low temperature. At similar temperature coated nanowires became segmented but the segments showed remarkable thermal stability at high temperature (5530C) for long times.
9:00 AM - II8.43
Plasmon Phase in Circular FePd Islands Using Far Field Magneto-Optical Measurements
Sebastian M George 1 Emil Melander 1 Vassilios Kapaklis 1 Bjoergvin Hjoervarsson 1
1Uppsala University Uppsala Sweden
Show AbstractFeXPd1-X islands on glass with a size of 450 nm and a periodicity of 513 nm have been created in order to study the modulations of the optical spectra and the transverse magneto-optical Kerr effect (TMOKE). By doing far field measurements one gets a strong modulation in the reflected intensity when the islands are plasmonically active. This can in general be seen through a decrease in the specular reflectivity or an increase in the transmission. For this samples both reflectivity and transmission are measured with p-polarized white light and a spectrometer for two main directions, the nearest neighbour and the next nearest neighbour. We have shown that both the reflectivity and the transmission are strongly dependent on the surface plasmons and their interaction through a coupling to the lattice. These measurements have been combined with TMOKE measurements in order to study the phase of the plasmon. The TMOKE measurements show large resonances where the plasmonic resonances occur and by measuring the TMOKE asymmetry over the resonance one can see a sign inversion of the TMOKE asymmetry going form 403 nm to 660 nm.
9:00 AM - II8.45
Formation of Gold Nanorods-Carbon Nanostructures Hybrids by Photochemical Synthesis and Their Application for Surface-Enhanced Raman Scattering
Anderson Caires 1 Diego Alves 1 Raissa Vaz 2 Andre Ferlauto 1 Cristiano Fantini 1 Luiz Orlando Ladeira 1
1UFMG Ibirite Brazil2UFMG Belo Horizonte Brazil
Show AbstractCarbon nanostructures such as carbon nanotubes, graphene, and graphene oxide have emerged in recent years as promising materials for several important applications due to their physical and chemical properties. Plasmonic nanostructures, such as gold nanorods, have been widely applied in different fields because of their excellent optical properties, related to the phenomenon of localized surface plasmon resonance. The interaction of gold nanorods with an electromagnetic wave promotes an amplifying of the electromagnetic fields around their surface, behaving as "nanoantennas" [1]. This leads an important effect, the Surface-enhanced Raman scattering (SERS effect), a highly sensitive technique that is applied to the study of molecules at very low concentrations or even to single molecules, which has aroused interest in its use for biosensing [2]. In fact, when the graphene oxide or carbon nanotubes are mixed with gold nanostructures, results in a material which has combine the chemical properties of the carbon nanostructures with the plasmon effect of gold nanorods [3]. In this work, we report a simple process of synthesis to make gold nanorods and carbon nanostructures, hybrid material, growing gold nanorods on carbon nanostructures in situ. This was accomplished through the photochemical synthesis of gold nanorods by ultraviolet irradiation. The growth of gold nanorods occurs in regions actively functionalized of multi-wall carbon nanotubes or graphene oxide forming a hybrid nanomaterial that was used to make a thin film. This film was applied to the development of SERS active substrates with high sensitivity, homogeny and excellent reproducibility.
[1] Kyle C. Bantz et al, Phys. Chem. Chem. Phys., 2011,13, 11551-11567.
[2] Huanjun Chen et al, Chem. Soc. Rev., 2013,42, 2679-2724.
[3] Chengzhou Zhu et al, Nanoscale, 2013,5, 10765-10775.
9:00 AM - II8.46
Energy Level Modification in Lead Sulfide Quantum Dot Thin Films through Ligand Exchange
Patrick R. Brown 1 Donghun Kim 2 Richard R. Lunt 3 Ni Zhao 4 Jeffrey C. Grossman 2 Moungi G. Bawendi 5 Vladimir Bulovic 6
1Massachusetts Institute of Technology Cambridge USA2Massachusetts Institute of Technology Cambridge USA3Michigan State University East Lansing USA4Chinese University of Hong Kong Hong Kong Hong Kong5Massachusetts Institute of Technology Cambridge USA6Massachusetts Institute of Technology Cambridge USA
Show AbstractThe electronic properties of lead sulfide colloidal quantum dots (PbS QDs) can be controlled through modification of the QD size and surface chemistry. Novel surface passivation techniques involving organic and inorganic ligands have contributed to a rapid rise in the efficiency of QD photovoltaics, yet an understanding of the influence of ligand-induced surface dipoles on QD energy levels has yet to be applied to the operation of PbS QD photovoltaics. Here, the energy levels of PbS QDs treated with fifteen different ligands are measured using ultraviolet photoelectron spectroscopy and are found to shift by up to 0.9 eV between different ligand treatments. Atomistic density functional theory simulations of ligand binding to pristine PbS(100) and PbS(111) slabs reproduce the directions of the measured energy level shifts and identify the intrinsic ligand dipole moment as the key determinant of the shifts in energy levels. The Fermi level and doping density are found to correlate with the steric bulk of the ligands through modification of the ligand binding density and film stoichiometry. Trends in the performance of QD photovoltaics employing these ligands in different device architectures are explained by the measured energy level shifts. These results identify ligand-mediated energy level shifts as a means of predictably controlling the electronic properties of colloidal QD films and as a versatile adjustable parameter in the performance optimization of QD optoelectronic devices.
9:00 AM - II8.47
Liquid Metal/Metal Oxide Frameworks for Sensing and Photocatalytic Applications
Jian Zhen Ou 1 Wei Zhang 1 Kourosh Kalantar-zadeh 1
1RMIT University Melbourne Australia
Show AbstractA new platform described as the liquid metal/metal oxide (LM/MO) framework is introduced. The constituent spherical structures of these frameworks are made of micro- to nano-sized liquid metal spheres and nano-sized metal oxides, combining the advantages of both materials. It is shown that the diameters of the spheres and the stoichiometry of the structures can be actively controlled via the duration of sonication. Additionally, the liquid suspension of these spheres demonstrates tunable plasmon resonances in the visible light range. These spherical structures are assembled to form LM/MO frameworks which are capable of demonstrating high sensitivity towards low concentrations of heavy metal ions, and enhanced solar light driven photocatalytic activities. These demonstrations imply that the LM/MO frameworks are a suitable candidate for the development of future high performance electronic and optical devices.
9:00 AM - II8.48
Short Ligands Induce Chained Self-Assemblies and Plasmon Coupling in Silver Nanoparticles
Serene Said Bayram 1 Omar Zahr 1 Klas Lindfors 2 Amy Blum 1
1McGill Montreal Canada2University of Cologne Cologne Germany
Show Abstract
The controlled organization of plasmonic nanoparticles into highly anisotropic 1D arrays and networks offers a platform to attune the flux of surface plasmons. Extended planar nanoparticle assemblies are capable of subwavelength optical guiding, which can result in the miniaturization of integrated optical, photonic and biosensor devices. [1] We herein report a facile, green and aqueous method to generate extensive 1D self-assembled superstructures of metallic silver nanoparticles by controlling the degree of nanoparticle surface passivation by either of the three ditopic ligands: cysteine, cysteamine and dithiothrietol. UV-Vis reveals the characteristic surface plasmon band of silver colloids (SP) centered at 390 nm as well as a low-energy longitudinal plasmon band (LP) that is suggested to arise from uniaxial coupling of the isotropic surface plasmons. [2] The plasmon coupling is reinforced by the Hydrogen-bonding offered by the end moieties of the ligands chosen. The magnitude, broadness and position of the isotropic and anisotropic bands were highly influenced by ligand:nanoparticle ratio. Transmission Electron Microscopy (TEM) is also used to unveil the morphologies of the superstructures that self-assembled in the form of discrete chains, branched and looped chains as well as interconnected chain networks. The stability of the assembled structures colloids seems to depend on the degree of aggregation as revealed by TEM and LP: SP magnitude ratio. Simulations of the extinction spectra of random chains of silver nanoparticles suspended in water were performed using discrete dipole approximation (DDA) and Comsol methods. Simulation data agreed with the experimentally observed persistence of the transverse plasmon band and the appearance of a longitudinal band whose position and broadness are influenced by the number of chain particles and the polarizability of the particles&’ surface.
1. W. L. Barnes, A. Dereux, T. W. Ebbesen, Nature, 2003, 424, 824
2. S Lin, M Li, E Dujardin, C Girard, S Mann, Advanced Materials, 2005, 17, 2553
II6: Plasmonics
Session Chairs
Mark Stockman
Prashant Nagpal
Wednesday AM, December 03, 2014
Hynes, Level 2, Room 210
10:00 AM - II6.01
Optoplasmonic Materials with Metallic and Dielectric Building Blocks in Rational Geometries
Bjoern Reinhard 1
1Boston Univ Boston USA
Show AbstractThe integration of metallic and dielectric building blocks into optoplasmonic structures creates electromagnetic systems in which plasmonic and photonic modes can interact in the near-, intermediate- and far-field. The electromagnetic coupling between multiple building blocks in hybrid structures provide a multitude of opportunities for controlling electromagnetic fields in both spatial and frequency domain as well as for engineering the phase landscape and the local density of optical states (LDOS). A rational control of any of these properties requires, however, fabrication approaches that can integrate the different building blocks at pre-defined locations into the hybrid structures. We introduce different template guided self-assembly strategies for the assembly of a wide range of discrete optoplasmonic structures and for optoplasmonic arrays or metasurfaces. Discrete optoplasmonic structures contain optical microcavities (OMs), which serve as whispering gallery mode (WGM) resonators and provide a photonic mode spectrum, and plasmonic antennas in defined geometries. Extended hetero-nanoparticle arrays comprising metallic and dielectric nanoparticles (or their clusters) at pre-defined locations are a second class of optoplasmonic materials, in which delocalized photonic modes interact with the localized surface plasmon resonances (LSPRs) located at the metal nanoparticles. We characterize the fundamental working principles of both optoplasmonic approaches and review template guided self-assembly methods that facilitate their fabrication.
10:15 AM - II6.02
Structural and Optical Visualization of Hot Spots Formed by Weak Localization Phenomena in Random Silver Nanoparticle Films
Nicholas J. Borys 2 1 Eyal Shafran 1 John M. Lupton 1 3
1University of Utah Salt Lake City USA2Lawrence Berkeley National Lab Berkeley USA3Universitamp;#228;t Regensburg Regensburg Germany
Show AbstractIn nanostructured silver films, disorder-induced localization of electromagnetic radiation plays a fundamental role in the formation of the extraordinarily efficient optical hot spots that are widely used for surface enhanced spectroscopies such as single molecule surface enhanced Raman scattering [1-3]. Although the best hot spots in these systems are formed from the hybridization of delocalized and localized plasmon modes in semi-extended, near-percolation films, a second class of hot spots is found in lower-coverage metal films that consist of a dense dispersion of metal nanoparticles. Using the intrinsic nonlinear optical response of the single hot spots as a facile, non-invasive and background-free probe of the underlying plasmonic resonances [4,5], signatures of cooperative multi-particle interactions on length scales that span the near- and far-field regimes are revealed. These complex interactions resulting in the localization of optical radiation to form the observed hot spots are reminiscent of weak localization phenomena in wave transport in disordered media and can be distinguished from more conventional hot spots such as in nanoparticle dimers by using nonlinear excitation polarization microscopy [5].
The surface density of silver nanoparticles in these films dwarves the concentration of nonlinear hot spots by orders of magnitude and prevents structural characterization of the optically-active regions using electron microscopy alone. However, nanoscale correlative imaging that combines electron microscopy and super-resolution nonlinear optical microscopy is able to pinpoint the location of the optical hot spot with an unprecedented accuracy of 50 nm. This sub-diffraction correlation microscopy technique reveals hot spots that have structural configurations of nanoparticles that do not support hot spot formation from near-field coupling alone, and provides evidence that electromagnetic energy is funneled to nanoscale regions from particles over distances exceeding the near-field regime. By using the correlated microscopy techniques to elucidate the optical structure-property relationships of these nonlinear hot spots, design rules can be established for forming nanoparticle based antennas that use dipole scattering to concentrate light into a single hot spot.
[1] M. J. Walter, et al., Phys. Rev. Lett. 98, 137401 (2007).
[2] M. J. Walter, N. J. Borys et al., J. Am. Chem. Soc.133, 3690 (2008).
[3] D. Li, N. J. Borys, and J. M. Lupton, Appl. Phys. Lett.100, 141907 (2012).
[4] N. J. Borys and J. M. Lupton, J. Phys. Chem. C115, 13645 (2011).
[5] N. J. Borys, E. Shafran, and J. M. Lupton, Sci. Rep.3, 2090 (2013).
10:30 AM - II6.03
Bimetallic Nanostructures and Their Plasmonic Properties
Jianfang Wang 1
1The Chinese University of Hong Kong Shatin Hong Kong
Show AbstractPlasmonic metal nanocrystals exhibit extraordinary optical properties. Their plasmon resonance wavelengths can be synthetically varied. Their absorption/scattering cross-sections normalized against their physical sizes are larger than those of atoms, ions, molecules, and semiconductor nanocrystals. Upon resonant excitation, they can concentrate light into near-field regions close to the metal surface. Moreover, the local density of photonic states around metal nanocrystals are largely enhanced around their plasmon wavelengths. These plasmonic features have enabled a number of applications with metal nanocrystals in a wide range of areas, such as imaging, sensing, nanomedicine, enhancement of linear and nonlinear optical signals, optics and optoelectronics.
Bimetallic nanostructures combine together two different metals. They can provide properties and functions that are impossible from monometallic nanocrystals. In this presentation, I will focus on our recent studies of bimetallic nanostructures, including their preparation, plasmonic properties, and applications on sensing and plasmon-enhanced catalytic reactions. When Ag is coated on Au nanorods, four plasmon bands are observed on the (Au core)/(Ag shell) nanorods. The nature of these four plasmon bands has been carefully revealed. Their peak wavelengths and extinction intensities can be systematically varied by control of the Au nanorod size and the Ag shell thickness. We have developed a general, kinetically programmable, and cost-efficient time-temperature indicator based on the distinct color change caused by Ag coating on Au nanorods. Our indicator can be specifically tailored and thus used to track perishables, dynamically mimic the deteriorative processes therein, and indicate product quality through sharp-contrast multicolor changes. We have also been able to coat continuous Pd shell or deposit discontinuous Pd nanoparticles on Au nanorods. A plasmonic percolation behavior is observed when Pd is deposited on Au nanorods. When the Pd volume fraction in the shell consisting of palladium and water approaches the plasmonic percolation threshold, ~70%, the plasmon of the nanostructure transits from red to blue shifts with respect to that of the unshelled Au nanorod. We have further employed bimetallic Au/Pd nanostructures for hydrogen sensing, where a plasmon shift of up to 56 nm is obtained at the hydrogen volume concentration lower than the explosion limit, as well as for plasmon-enhanced catalytic chemical reactions, where Au nanorods absorb light energy through plasmon excitation and thereafter transfers it to Pd nanoparticles to accelerate catalytic reactions.
10:45 AM - II6.04
Metal-Hybrid Nanocolloids for Magneto-Plasmonics
Hyeon-Ho Jeong 1 Tung-Chun Lee 1 Mariana Alarcon-Correa 1 2 Sahand Eslami 1 2 John G Gibbs 1 Andrew G Mark 1 Cornelia Miksch 1 Peer Fischer 1 2
1Max Planck Institute for Intelligent Systems Stuttgart Germany2University of Stuttgart Stuttgart Germany
Show AbstractRelatively large quantities of nanocrystals and nanoparticles can now be synthesized routinely using solution-based chemical techniques. However, chemically-synthesized nanostructures are often limited in the available shapes and their material composition[1]. Synthesizing hybrid-metal nanoparticles with complex shapes and compositions remains challenging. Physical methods based on lithography (e-beam) or direct-write methods (dip-pen) can avoid some of these restrictions, but they often do not have the desired resolution and are serial processing techniques, which are therefore limited in throughput, and they cannot readily produce nanoparticles in a colloidal state.
In this presentation, we highlight a new and generic growth method, nano-GLAD[2,3], that enables the rapid fabrication of 3-D hybrid nanostructures with programmable shapes and compositions and feature sizes down to 10 nm. Nano-GLAD combines conventional glancing angle deposition (GLAD) PVD technolog[4] with high throughput surface patterning techniques, such as block copolymer micelle nanolithography[5], to realize a scalable nanofabrication platform. Typically 1012 nanostructures can be grown on a patterned 3-inch silicon wafer within one hour. These as-grown custom nanostructures can be easily detached from the wafer surface to generate stable nanocolloidal solutions with a concentration comparable to commercial gold nanoparticles of a similar size. Nanostructures with a wide variety of 3-D shapes can be produced by controlling the angle of incidence of the incoming vapor flux during the PVD process. Moreover, hybrid nanostructures can readily be generated from a wide range of materials, including metals, semiconductors, oxides, magnetics, and selected organic materials[6,7].
Here, we design and fabricate metal-hybrids that are both plasmonically and magnetically active by combining various functional materials within the same nanostructure. These metal-hybrid nanocolloids are characterized by SQUID magnetometry, optical absorption and circular dichroism measurements, as well as dark-field microscopy. We investigate the magnetic switching of their optical properties, and show that the hybrid nanocolloids are very stable in solution under ambient conditions. Applications for switchable multifunctional devices range from sensing to optoelectronic and memory applications.
[1] Y. Xia, Y. Xiong, B. Lim, S. E. Skrabalak, Angew. Chem. Int. Ed., 2009, 48, 60.
[2] A. G. Mark, J. G. Gibbs, T.-C. Lee, P. Fischer, Nat. Mater., 2013, 12, 802.
[3] J. G. Gibbs, A. G. Mark, T.-C. Lee, S. Eslami, D. Schamel, P. Fischer, Nanoscale, 2014, DOI: 10.1039/C4NR00403E.
[4] M. M. Hawkeye, M. J. Brett, J. Vac. Sci. Technol. A, 2007, 25, 1317.
[5] R. Glass, M. Möller, J. P. Spatz, Nanotechnol., 2003, 14, 1153.
[6] J. G. Gibbs, A. G. Mark, S. Eslami, P. Fischer, Appl. Phys. Lett., 2013, 103, 213101.
[7] T.-C. Lee, M. Alarcoacute;n-Correa, C. Miksch, K. Hahn, J. G. Gibbs, P. Fischer, Nano Lett., 2014, 14, 2407.
11:30 AM - II6.05
Plasmonic Nanocones as Efficient Antennas
Simon Vassant 1 Vahid Sandoghdar
1Max Planck Institute for the Science of Light Erlangen Germany
Show AbstractPreviously we showed the potential of plasmonic gold nanocones as efficient antennas for enhancing the radiative properties of quantum emitters. Here, we present the first experimental study of this system. In our work, we fabricated nanocones of controlled aspect ratio using focused ion beam milling and characterized them using white light plasmon spectroscopy. The cones exhibit resonances in the range 550-700 nm in good agreement with numerical simulations.
To investigate the interaction of a single cone with a single quantum emitter, we approach a quantum dot placed at the end of a glass fiber tip using shear-force microscopy. We then measure the fluorescence lifetime and intensity of the quantum dot using pulsed excitation at a wavelength of 532 nm, outside the plasmon resonance of the nanocone. By scanning the quantum dot, we image its radiative properties as a function of its lateral and axial positions with respect to the cone. Furthermore, by varying the excitation power, we investigate the radiative and nonradiative parts of the fluorescence lifetime. We will present very strong fluorescence enhancements observed both in the spontaneous emission rate as well as fluorescence intensity. The measurements will be accompanied by comparison with a theoretical analysis, considering the peculiarities of the photophysics of quantum dots such as generation of biexcitons.
11:45 AM - II6.06
Fabrication of Sub-Nanometer Gaps by Nanoskiving Using the Edges of Graphene Nanoribbons as Sacrificial Spacers
Darren Lipomi 1 Aliaksandr Zaretski 1 Herad Moetazedi 1
1University of California, San Diego La Jolla USA
Show AbstractNanoscale gaps between conductive particles play important roles in optics and electronics. In optics, nanogaps produce large enhancements of electric fields, which are of potential importance in metamaterials and spectroscopy using surface-enhanced Raman scattering (SERS). Nanogaps are the enabling components of molecular electronics, in which each side of the nanogap behaves as an electrode. As a rule, it is much easier to form nanogaps in the vertical dimension (i.e., deposition of thin conductive films or placement of liquid metals on top of self-assembled monolayers, SAMS) than it is to form structures that are thin in the lateral dimension (i.e., nanolithography, break junctions, or other forms of edge lithography). This paper describes ultramicrotome sectioning (nanoskiving) of gold/graphene/gold sandwiches embedded in epoxy to form structures comprising two gold nanowires separated by a graphene nanoribbon. The method converts an object that is thin in the vertical dimension (i.e., graphene, which is a single atom thick) to a structure that is thin in the lateral dimension. This simple method can in principle produce thousands of quasi copies of a single embedded structure. With a van der Waals radius of 0.185 nm, carbon is the seventh-smallest element and is the smallest element capable of forming planar molecules larger than three atoms. We thus suggest that using the thickness of a single-layer graphene film forms the smallest gaps between laterally arranged structures that are in principle possible to achieve. Such structures could find a range of applications in basic and applied science, notably in electronics based on graphene and molecular (or even atomic) species, and in the optical properties of nanostructures.
12:00 PM - *II6.07
Approaching the Quantum Limit for Metal Nanoparticle Plasmonics
Garnett W. Bryant 1 Emily Townsend 1 Alex Debrecht 1
1National Institute of Standards and Technology Gaithersburg USA
Show AbstractNanoscale transmission of quantum excitations in quantum information technologies must preserve the quantum character of the information. One proposed realization for nanoscale quantum information transfer uses hybrid systems of metallic nanoparticles (MNP) and semiconductor quantum dots (QD), with plasmons in MNPs moving qubits from QD to QD. Ultimately, a quantum description of the entire system, treating the MNPs and QDs on an equal footing, is needed to fully account for size quantization, quantized plasmons, coherent coupling, interparticle tunneling and nonlocal and nonlinear response. To achieve this, a quantum description of the MNPs is needed.
To this end, we use real-space time-dependent density functional theory (TDDFT) for a quantum description of MNPs. The MNPs are Au jellium nanospheres. We consider the limit of small MNPs where size quantization plays a key role. So far, it has proven difficult to clearly distinguish MNP excitations as single-particle transition or plasmonic modes, because the excitations have hybrid character. Previously, we showed that individual, small MNPs support “quantum core plasmons”, charge oscillations primarily localized near the MNP core, and “classical surface plasmons”, charge oscillations more at the MNP surface. Both of these are collective oscillations. We discuss more detailed analysis of the time dependence of driven systems to characterize more fully these excitations. Both types of modes have a “sloshing” character with charge oscillating between filled energy shells just below the Fermi level and empty shells just above the Fermi level. At the same time, both types have “inversion” character with charge continuously emptying from levels far below the Fermi level and filling shells far above the Fermi level. The sloshing character is dominant in classical surface plasmon modes. The inversion character is more single-particle like and is dominant for core plasmons.
While TDDFT yields information about the nature of the excitations in MNPs, DFT can&’t address the quantum character of these excitations, ie whether the excitations are harmonic-like, bosonic, fermionic. Such information is necessary for building good models for quantized plasmons in MNPs. To begin to address these issues, we have explored simple models for interacting electrons on a linear chain. For short chains, the eigenmodes of the interacting electrons in the system are found exactly. As expected, the ground state shows a Mott transition as the hopping along the chain is varied. For the hopping regime where the interacting ground state of the system is metallic, we discuss initial results that analyze the character of the excitations. We use the results to identify which excitations are fermionic/bosonic, which are collective, which are harmonic oscillator-like, when nonlinear effects appear. Implications for plasmon quantization in small systems are discussed.
Symposium Organizers
Sandrine Ithurria, Ecole Superieure de Phusique et de Chimie Industrielles
Masaru Kuno, University of Notre Dame
Prashant Nagpal, University of Colorado Boulder
Matthew Pelton, University of Maryland, Baltimore County
Symposium Support
Aldrich Materials Science
Nanoscale
University of Notre Dame
II10: Advanced Measurement and Characterization
Session Chairs
Prashant Kamat
Sandrine Ithurria
Thursday PM, December 04, 2014
Hynes, Level 2, Room 210
2:30 AM - II10.01
Femtosecond X-Ray Tomography of Nanocrystals at Extreme Strains
Erzsi Szilagyi 2 Joshua Wittenberg 2 Aaron Lindenberg 1
1Stanford University Stanford USA2SLAC National Accelerator Laboratory Menlo Park USA
Show AbstractNanoscale dimensions in materials lead to unique electronic and structural properties with novel applications to next generation functional devices. These applications often involve large-amplitude strains and modifications to nanocrystal morphology and require an understanding of the dynamics of these processes. Here femtosecond x-ray scattering techniques are used to construct a tomographic view of ultrafast anisotropic strains in nanoscale spheres and rods following above-band-gap photo-excitation. Large- amplitude tensile strains at the percent level are observed in CdS and CdSe samples, associated with a rapid expansion followed by contraction along the nanosphere or nanowire radial direction, corresponding to a single-cycle acoustic breathing mode. We find that bulk equilibrium elastic constants remain sufficient to describe the nanocrystal response despite the extreme excitation conditions. These morphological changes occur simultaneously with the first steps in the melting transition on hundreds of femtosecond time-scales which is directly probed by measuring the x-ray scattering intensity as a function of time. We extract the atomic RMS displacement amplitude from these measurements and find that a simple Debye-Waller-like model accurately describes the melting response during the first few picoseconds. This work represents the first real-time probe of the dynamics of these strains, shape changes, and disordering response in few-nanometer-scale particles,
2:45 AM - II10.02
Optoelectronic Properties of Si-Nanocrystals Embedded in a Dielectric Matrix by Low-Loss EELS
Alberto Eljarrat 1 Luis Lopez-Conesa 1 Julian Lopez-Vidrier 1 Sergi Hernandez 1 Blas Garrido 1 Cesar Magen 3 4 Francesca Peiro 1 Sonia Estrade 1 2
1Universitat de Barcelona Barcelona Spain2Universitat de Barcelona Barcelona Spain3Universidad de Zaragoza Zaragoza Spain4Fundaciamp;#243;n ARAID Zaragoza Spain
Show Abstract
Our work is focused on advanced data analysis methods for the characterization of silicon nanocrystals (Si-NCs) by high angle annular dark field and electron energy loss spectroscopy (HAADF-EELS) in the aberration corrected and monochromated scanning transmission electron microscope (STEM). These Si-NCs are embedded in multilayer stacks where SiO2, SiC and Si3N4 are used as dielectric barriers. We have developed a collection of fast and powerful computational tools enabling nanometric spatial resolution imaging of the Si-NCs using sub-eV energy resolution EELS.
The starting point of our analysis is the generation of maps from properties measured on the low-loss EELS-SI, such as plasmon energy, EP, and relative thickness, t/lambda;. For each pixel, EP has been determined, revealing the spatial distribution of the Si-NCs and barrier dielectric material. This method is better suited than the examination of the HAADF images, because of the appearance of spurious features from the inhomogeneity of the sample, masking the Si-NC positions. Nevertheless, it is not possible to get a direct measurement of the pure contribution of the Si-NC to the spectra, as all measured data present at least a mixture of nanoparticle and matrix plasmon.
In spite of this difficulty, segmentation and identification of the different phases in the material have been achieved using mathematical morphology techniques. The result of this approach is that adjacent pixels in the EELS-SI that share a common property are identified. Higher signal-to-noise ratio spectra from the particle and dielectric regions have been generated, along with slices of the EELS-SI. Using these slices, we have made a detailed exploration of spectral factorization using multivariate analysis (MVA) algorithms. Among the tested MVA algorithms, we have learned that NMF and BLU succeed in disentangling the quantum-confined optoelectronic features from the Si-NCs from the matrix EELS signal. Less accessible properties, such as electron effective mass of the Si-NCs, have also been calculated and compared with the expected values. For this purpose, a thickness-normalized Kramers-Kronig analysis algorithm has been prepared.
In summary, maps of the spatially-resolved measured properties, such as EP and t/lambda;, have been produced for the three studied systems with different dielectric barriers. Moreover, the extraction of particular features by segmentation and MVA factorization of the EELS data has allowed recovering the contribution of the Si-NC to the spectrum for each sample. Finally, the models produced by the MVA algorithms have allowed a novel optoelectronic characterization of the studied embedded nanoparticle systems.
3:00 AM - II10.03
Upconversion from Rare-Earth Doped Y2O3 Nanoparticles with Metal Nano-Caps
Minoru Fujii 1 Kaoru Yamamoto 1 Shunji Sowa 1 Kenji Imakita 1
1Kobe University Kobe Japan
Show AbstractUpconversion luminescence of rare-earth doped materials has been the subject of intensive research because of the variety of potential applications in the field of biology and optoelectronic devises. However, due mainly to the small excitation cross-sections and radiative decay rates of rare-earth ions, the intensity is still low, which prevents practical applications of upconversion materials. Recently, coupling of electronic states of rare-earth doped materials and surface plasmons supported by metal nanostructures is shown to be very effective for the enhancement of upconversion luminescence. In this work, we study a new type of composite upconversion material consisting of an Er and Yb co-doped Y2O3 nanoparticle and a Ag nano-cap. A metal nano-cap, sometime called semi-shell or half-shell, is known to support a magnetic dipole plasmon mode due to oscillating current loop in addition to an electric dipole mode. Both modes have strongly enhanced local electric fields near a nano-cap rim31,32. The resonance wavelengths of the modes can be controlled in wide ranges by the thickness and the coverage of a nano-cap. The high controllability of the resonant wavelengths and strong enhancement of the local electric fields make a nano-cap a very attractive structure for metal-dielectric composite upconversion nanoparticles.
In this work, we produce Er and Yb codoped Y2O3 nanoparticles (Y2O3:Yb,Er nanoparticles) with Ag nano-caps by depositing Ag thin films on silica substrates on which Y2O3:Yb,Er nanoparticles are placed and then by removing Y2O3:Yb,Er nanoparticles with Ag nano-caps from the substrate by the method described in Ref. [1]. The structural parameters, i.e., nanoparticle size and Ag thickness, are optimized so that the light scattering peaks of electric dipole and magnetic dipole surface plasmon modes coincide with green (550nm) and red (670nm) upconversion luminescence of Er3+, respectively. Formation of nano-caps is confirmed by transmission electron microscopic observations. We study light scattering and upconversion luminescence spectra of large number of individual nanoparticles and found that almost all of them have much larger upconversion intensity that those without nano-caps. Furthermore, the intensity ratio of the green to red emission is strongly modified by Ag nano-caps. In average, the green and red emissions are enhanced 23 and 48 times, respectively, by the formation of Ag nano-caps.
[1] Zhang, et al., Nano Lett.11 (2011) 1838.
3:15 AM - II10.04
Effect of Surface Plasmons on Near-Field Nanoptics and Other Photophysical Processes in Upconverting Nanoparticles
Prashant Nagpal 1 Qi C. Sun 1
1University of Colorado Boulder Boulder USA
Show AbstractUpconversion of infrared radiation into visible light has been investigated for applications in photovoltaics and biological imaging. However, low conversion efficiency due to small absorption cross-section for infrared light (Yb3+), and slow rate of energy transfer (to Er3+ states) has prevented application of upconversion photoluminescence (UPL) for diffuse sunlight or imaging tissue samples. Surface plasmon polaritons (SPP) waves have been shown to significantly affect the near-field nanoptics and other nanoelectronic phenomenon. In particular, strong Coulombic interactions can enhance nearby non-linear optics and energy transfer process, while SPP waves also affect other photophysical processes like quenching observed in fluorescent and excitonic systems. Here, using different plasmonic substrates, we show the effect of plasmon-enhancement on quenching, phonon-assisted non-radiative decay, weak Purcell effect or electromagnetic field enhancement, and energy transfer rates of upconverting doped-lanthanide nanoparticles. While the resonant plasmons enhance the local electromagnetic field and the rate of energy transfer leading to enhanced upconversion photoluminescence of infrared radiation to visible light, it can also increase the quenching and non-radiative decay rates of photoexcited electron-hole pairs leading to losses and lower efficiency. Using optimized substrate design, our analysis indicates that SPP waves not only enhance the electromagnetic field, and hence weak Purcell effect, but also increase the rate of resonant energy transfer from Yb3+ to Er3+ ions by 6 fold. This strong Coulombic effect on energy transfer can have important implications for other fluorescent and excitonic systems too, and guide the design of optimized substrate geometry for using surface plasmons to modulate the photophysics in other applications too.
3:30 AM - II10.05
Photon Upconversion Using Plasmonic Hot-Carriers
Gururaj V. Naik 1 Sassan N Sheikholeslami 1 Jennifer A Dionne 1
1Stanford University Stanford USA
Show AbstractUpconversion - the conversion of lower-energy photons to higher-energy photons - is a promising approach to improve the efficiency of solar energy harvesting, enable deep-tissue bioimaging and photodynamic therapies, and allow for novel security and surveillance platforms. To date, most upconverting materials are based either on two photon absorption or Auger processes. However, both of these techniques have low efficiencies, arising primarily from the narrow absorption bandwidths of upconverting materials or the forbidden nature of the radiative optical transitions. In this presentation, we describe an entirely new upconverting scheme based on hot-carrier injection from a plasmonic absorber to an adjacent semiconductor. Notably, the plasmonic system both induces upconversion based on injection of hot-electrons and hot-holes, and also enhances light-matter interactions.
Our specific approach is based on metal/semiconductor/semiconductor heterostructures. We adopt two complementary methods to create such structures: colloidally-synthesized core/shell/shell CdSe/CdS/Au nanocrystals and Au nanoparticles deposited on InGaN/GaN multiquantum wells. Synthesis of CdSe/CdS colloidal nanoparticles is carried out using a SILAR (successive ion layer adsorption and reaction) technique to achieve epitaxial growth of CdS shell on CdSe core. Subsequently, an Au shell is accomplished by reduction of gold chloride. For the InGaN/GaN system, an InGaN/GaN multi-quantum well structure (NovaGaN GmbH) is coated with Au nanoantennas using electron-beam lithography and evaporation. To enable upconversion, the size of all semiconductor layers are designed to achieve proper valence and conduction band offsets such that the carriers are confined to the low-bandgap semiconductor (CdSe or InGaN). This band structure also ensures that the Fermi-level of Au nanostructure aligns close to the mid-bandgap of CdS or GaN.
Since the Fermi level of Au aligns close to the mid-gap of CdS or GaN, upon illumination, photons with energy E>Eg(CdS or GaN)/2 create hot electrons and hot holes in Au, with sufficient energy to cross the Au/CdS or Au/GaN Schottky barrier. Such electrons and holes then inject into the low bandgap semiconductor, CdSe or InGaN where they can radiatively recombine, emitting a photon of energy nearly twice the energy of the incident photons. Efficient upconversion occurs since hot carrier generation and injection in small (<20 nm) Au nanostructures can be quite efficient. Our calculations based on Fermi-liquid theory show that efficiencies can reach to 11% for these systems, and our presentation will describe both this theory and experiments determining the upconversion quantum yield from 700 to 570 nm for CdSe/CdS system and 530 to 430 nm for the InGaN/GaN system. Importantly, the proposed scheme does not require coherent illumination, can be quite broadband, is tunable-by-design, and more efficient than the existing schemes.
4:15 AM - *II10.06
Single Particle Absorption Spectroscopy of Plasmonic Nanostructure
Stephan Link 1
1Rice University Houston USA
Show AbstractApplications of plasmonic nanostructures take advantage of their large absorption and scattering cross sections that depend sensitively on the nanoparticle size, shape, and local environment. Effects due to distributions in these parameters can be understood using single particle spectroscopy techniques, especially when combined with structural imaging and electromagnetic simulations. Single particle spectroscopy methods have, however, been mostly developed to investigate the scattering or extinction of plasmonic nanostructures. The latter equals the absorption, but only if the nanoparticles of interest are small and do not scatter significantly. Photothermal imaging is capable of measuring the absorption only of nanostructures, and is based on the heating of the local environment following absorption and nonradiative decay. Photothermal imaging is typically limited to a single wavelength though as it requires a coherent light source for excitation. Here we show how with the use of a white light supercontinuum source we are able to record absorption spectra of plasmonic nanoparticles of different sizes and compare the results to the scattering response. Furthermore, time-resolved transient absorption spectroscopy is employed to follow in real time the nonradiative decay of the initially absorbed photon energy
4:45 AM - II10.07
Measuring Single Semiconductor Nanocrystal Lineshapes in the Short Wavelength Infrared
Justin Ryan Caram 1 Thomas Stanley Bischof 1 Andrew Paul Beyler 1 Moungi Bawendi 1
1MIT Cambridge USA
Show AbstractShort wavelength infrared (SWIR) emitting quantum dots (QDs), such as PbS, PbSe, and InAs have many potential applications, however, sample heterogeneity can severely limit their utility. Therefore, methods are needed to characterize the properties of individual QDs. In the visible, avalanche photodiodes have enabled the study of the emission photon stream of single nanocrystals. This information has led to advances in understanding fluorescent intermittency, core-shell structures, biexciton quantum yield and spectral diffusion. One example, Photon Correlation Fourier Spectroscopy (PCFS), enables researchers to extract the spectral correlation of a single nanocrystal, yielding information about individual particle lineshapes and spectral diffusion. We apply PCFS to SWIR emitting QDs. Utilizing superconducting nanowire single photon detectors, which are sensitive to single dot emission from 1 to 1.8 microns we probe PbS quantum dots, revealing single emitter lineshapes evaluating different QD synthetic strategies.
5:00 AM - II10.08
Controllable Position and Opto-Electrical Transport Properties of Single Colloidal CdS Nanorod
Jia Song 1 DaYin Dong 1 Peigang Li 1 Shunli Wang 1 Danxia Zhong 1
1Zhejiang Sci-Tech University Hangzhou China
Show AbstractColloidal CdS nanorods with a mean diameter of 4.9 ± 0.7 nm and length of ~ 60 nm were synthesized by a seeded growth approach. The crystal structure is hexagonal with lattice parameter of a = 0.412 and c = 0.668 nm. The band gap of CdS, derived from UV-Vis spectrum of nanorods is ~ 2.43 eV. Bow-tie electrodes with a gap of ~100 nm were fabricated using electron beam lithography (EBL) technique. The CdS nanorods were positioned into gaps by AC dielectrophoresis process to construct nano-opto-electronic device. The quantity of trapped nanorods can be controlled by tuning the parameters including trapping time, amplitude and frequency of the applied voltage, concentration of the solution. The fabricated devices showed an excellent photoresponse to white light and blue light, indicating potential applications in photo-detector.
5:15 AM - II10.09
Ultrafast Photoluminescence from Amorphous Surface Layers in Quantum-Confined Silicon Nanocrystals
Daniel C. Hannah 1 Jihua Yang 2 Nicolaas Kramer 2 George C. Schatz 1 Uwe Kortshagen 2 Richard D. Schaller 1 3
1Northwestern University Evanston USA2University of Minnesota Minneapolis USA3Argonne National Laboratory Argonne USA
Show AbstractNanometer-sized forms of silicon exhibit greatly enhanced optical efficiencies relative to the bulk crystalline phase, creating opportunities for non-toxic, fluorescent bio-labels, efficient light-emitting diodes, and devices utilizing Si for both optical and electronic operations. The photoluminescence (PL) spectrum of quantum-confined silicon nanocrystals is comprised of a rapidly decaying, high-energy feature (2 - 3 eV) as well as a long-lived, lower-energy feature (1 - 2 eV). Despite numerous studies, disagreement exists regarding the origin of both the fast and slow decay processes. Here, we focus on the dynamics of the high-energy feature, which has previously been attributed to "hot" phononless emission from crystalline Si enabled by the quantization of electronic states.
In particular, we quantify the decay time and spectral profiles of high-energy PL from multiple sizes of plasma-synthesized Si nanocrystals. We also perform such measurements for a series of increasingly disordered Si nanoparticles, ranging from fully crystalline to fully amoprhous. Comparison of PL dynamics between samples leads us to suggest that the observed dynamics proceed too slowly to originate from intraband carrier thermalization, and instead suggest that ultrafast, high-energy PL is associated with a ubiquitous amorphous layer. We support our conclusions with high-resolution TEM analysis, Raman spectroscopy, and molecular dynamics simulations.
5:30 AM - *II10.10
Tracking Carriers Through Space and Time in Single Silicon Nanowires Using Ultrafast Optical Microscopy
Minah Seo 1 2 Jinkyoung Yoo 1 Shadi A Dayeh 1 3 Samuel Tom Picraux 1 Antoinette J Taylor 1 Rohit P Prasankumar 1
1Los Alamos National Laboratory Los Alamos USA2Korea Institute of Science and Technology Seoul Korea (the Republic of)3University of California, San Diego San Diego USA
Show AbstractThere has been an explosion in research on semiconductor nanowires (NWs) in recent years, primarily due to their variety of potential electronic and optoelectronic applications, including photodetectors, electrically-driven lasers, nanoscale transistors, and solar cells. Recent success in the fabrication of axial and radial core/shell heterostructures on NWs, composed of one or more layers with different properties, has enabled greater control of device operation for optoelectronics and solar cells. Since interfaces between different layers in heterostructured NWs strongly influence their properties and in turn device performance, it is especially important to understand carrier dynamics in these quasi-one dimensional (1D) systems.
Here, we use ultrafast optical microscopy (UOM) to directly examine carrier dynamics and diffusion currents in both single silicon (Si) core and Si/SiO2 core/shell NWs with high spatial and temporal resolution in a non-contact manner. By measuring the time-resolved photoinduced change in transmission (DT/T) at a specific position and varying the relative pump and probe positions, we can track carrier relaxation and determine the diffusion current along the NW. A striking difference in carrier dynamics and diffusion current maps was observed for Si NWs with or without SiO2, due to trapping in unpassivated surface states. Moreover, our experiments enable us to extract several fundamental parameters in these NWs, including the surface recombination velocity, diffusion coefficients, and diffusion velocities, without the influence of contacts. Finally, we also observed strong acoustic phonon oscillations in both Si and Si/SiO2 NWs for the first time, independent of the separation distance. This research has potential applications in NW-based devices, optoelectronics, and sensitive photodetection by combining measurements at both nanometer distance and femtosecond time scales to reveal the intrinsic properties of these quasi-one-dimensional nanosystems.
II11: Poster Session III
Session Chairs
Thursday PM, December 04, 2014
Hynes, Level 1, Hall B
9:00 AM - II11.01
Fabrication of Nanopeapod Structures through the Directed Capture Semiconducting and Plasmonic Nanoparticles
Shiva Adireddy 1 Taha Rostamzadeh 1 Treva Brown 1 John B. Wiley 1
1University of New Orleans New Orleans USA
Show AbstractOur group has developed methods for the routine production of peapod-like nanocomposites.1-3 A variety of nanoparticles, including magnetic and optically active ones, can be captured in hexaniobate nanoscrolls to produce one-dimensional constructs in high yield. These assemblies are stable and allow for effective “packaging” of nanocomponents. Recent efforts have involved the capture of semiconducting and plasmonic nanoparticles where a series of semiconductor (ZnS, CdS, and CdSe) or metal (Ag, Au) nanoparticles have been incorporated into nanopeapod structures. Further, bifunctional composites that include different nanoparticles with gold are also accessible. Details on the synthesis and characterization of the various nanopeapod composites will be presented along with the optical response of these materials.
(1) Yao, Y.; Chaubey, G. S.; Wiley, J. B. J. Am. Chem. Soc.2012, 134, 2450.
(2) Adireddy, S.; Carbo, C. E.; Yao, Y.; Vargas, J. M.; Spinu, L.; Wiley, J. B. Chem. Mater.2013.
(3) Adireddy, S.; Carbo, C. E.; Rostamzadeh, T.; Vargas, J. M.; Spinu, L.; Wiley, J. B. Angew. Chem. Int. Ed.2014, 53, 4614.
9:00 AM - II11.02
Area-Selective Electroless Deposition of Gold Nanostructures on SiC Using Focused-Ion-Beam Preprocessing
Hiroki Itasaka 1 Masayuki Nishi 1 Masahiro Shimizu 1 Kazuyuki Hirao 1
1Kyoto University Kyoto Japan
Show AbstractFabricating metal nanostructures on a selective area of a semiconductor substrate is increasingly important for electronic, photonic, and plasmonic applications. Electron beam and UV lithographies are popular options for fabricating precise nanostructures. These techniques, however, require many steps owing to their indirect nature using resist films for temporary-mask fabrication. We recently reported a direct maskless and electroless method [1-4]: a HAuCl4 solution is dropped onto a silicon substrate preprocessed with, for instance, a focused ion beam (FIB). Gold nanostructures selectively grow on the preprocessed area, at which Au ions are reduced by silicon. In this method, hydrofluoric acid, commonly used for electroless deposition of metal on silicon, is also unnecessary.
Here, our method is applied to a SiC substrate (Shinko MechatroTech Co., Ltd., Japan). A solution of HAuCl4 in water is dropped onto a SiC substrate preprocessed with a FIB, followed by ethanol rinse and air drying at room temperature. Gold nanostructures successfully and selectively grow on the FIB-irradiated region on a SiC substrate. The size and morphology of gold nanostructures change, depending on the exposure time to the dropped solution and the concentration of Au ions as in the case of silicon; however, the volume of gold nanostructures on SiC is smaller than on silicon. This difference can be attributed to the lower Fermi level of SiC than that of silicon, since the difference in the Fermi level between the substrate and the HAuCl4 solution is a driving force for the growth of gold on the FIB-irradiated region.
[1] H. Itasaka, M. Nishi, and K. Hirao, Jpn. J. Appl. Phys. 53, 06JF06 (2014).
[2] H. Itasaka, M. Nishi, et al., J. Ceram. Soc. Jpn. in press.
[3] T. Matsuoka, M. Nishi, et al., J. Ceram. Soc. Japan 118, 575 (2010).
[4] T. Matsuoka, M. Nishi, et al., IOP Conf. Ser.: Mater. Sci. Eng., 18, 052007 1-4 (2011).
9:00 AM - II11.03
Facile Synthesis Route to Colloidal InAs Quantum Dots
Hideo Uesugi 1 Masao Kita 2 Takahisa Omata 1
1Graduate School of Engineering, Osaka University Suita Japan2Toyama National College of Technology Toyama Japan
Show AbstractColloidal InAs quantum dots (QDs) is one of the promising materials for the QD-solar cells. Generally, InAs QDs are synthesized using a tris-trimethylsilyl arsine (As(TMS)3) as an arsenic source; however, it is very reactive with moisture and forms toxic and explosive arsine (AsH3). In the present paper, we report a new and facile synthesis route to colloidal InAs QDs using triphenyl arsine (AsPh3) as an arsenic source. AsPh3 is solid and its vapor pressure is safely low at room temperature. It is easy to handle as compared to the As(TMS)3.
Colloidal InAs QDs were synthesized at 320oC by using InBr3 dissolved in oleylamine and AsPh3 dissolved in octadecene as indium and arsenic sources, respectively, and trioctylphosphine as a capping agent. In the present reaction, InAs QDs started to form at approximately 25 min after the reaction started.
High resolution transmission microscope image indicated that the obtained InAs QDs for the reaction time of 28 min was almost spherical, and the average diameter based on the HRTEM observation was 4.8 nm. The size increased with the increasing reaction time; the average size of the QDs for 32 min reaction time was 6 nm. A clear absorption shoulder corresponding to the optical gap was observed in optical absorption spectra of the QDs dispersed in tetrachloroethylene. The optical gap increased from 0.89 eV for 8.6 nm QDs to 1.19 eV for 3.9 nm QDs. In the PL spectra, a weak but clear emission was observed for the QDs. Although the emission was attributed to defect related emissions based on their large Srokes shift, this indicates that the optical quality of the QDs obtained is fairly good.
9:00 AM - II11.04
Preparation of Photoactive Selenides Nanorods Using a Cysteine-Complexation-Directed Ion-Insertion Method
Yu-Ping Tseng 1 YUNG-JUNG HSU 2
1National Chiao Tung University Hsinchu city Taiwan2National Chaio Tung University Hsinchu city Taiwan
Show AbstractSelenium (Se) possesses many unique physical and chemical properties, making it a promising candidate in relevant optoelectronic and physicochemical applications [1]. For example, its pronounced photoconductivity offers great potential in the #64257;elds of solar cells, photocatalysis, recti#64257;ers and light sensing [2]. In addition, Se exhibits high reactivity toward a variety of metal ions, which can be utilized to perform chemical transformation and obtain the corresponding metal selenides [3]. In this work, a cysteine-complexation-directed ion-insertion process was developed to prepare a variety of metal selenides nanorods including PbSe, Bi2Se3, CuSe, and Cu2-xSe. Single-crystalline Se nanorods were first prepared [1] and used as the growth template. The success of the method relied on the complexation between cysteine molecules and metal ions, which facilitated the reaction of metal ions with Se nanorods and thus the delicate metal ion insertion. This method not only produced nanorods samples that preserved the morphology and crystallinity of the initial Se, but also was a facile and green process to obtain selenides nanorods which were difficult to be prepared with the general methods. The photoactivities of the samples were investigated by employing them as the photoelectrodes for photoelectrochemical water splitting. All the selenide nanorods displayed remarkable photocurrent generation as well as significant photon-to-electron conversion efficiency, revealing their promising potential as photocatalysts in relevant photoelectrochemical processes.
[1] Y.-D. Chiou, Y.-J. Hsu, Appl. Catal. B. Environmental2011, 105, 211-219.
[2] (a) H. T. Li, P. J. Regensburger, J. Appl. Phys.1963, 34, 1730-1734. (b) J. A. Johnson, M. L. Saboungi, P. Thiyagarajan, R. Csencsits, D. Mesisel, J. Phys. Chem. B1999, 103, 56-63.
[3] (a) M.-Y. Chen, Y.-J. Hsu, Nanoscale2013, 5, 363-368. (b) A. T. Nguyen, W.-H. Lin, Y.-H. Lu, Y.-D. Chiou, Y.-J. Hsu, Appl. Catal. A: General2014, 476, 140-147
9:00 AM - II11.05
Band Structure of Sub-Nanometer WO3 Quantum Dots
Takafumi Suzuki 1 Hiroto Watanabe 1 2 Yuya Oaki 1 Hiroaki Imai 1
1Keio University Yokohama Japan2Tokyo Metropolitan Industrial Technology Research Institute Koto Japan
Show AbstractSize-controlled synthesis of sub- and single-nanometer WO3 quantum dots (QDs) was achieved. The size of WO3-QDs was strictly tuned in the range of 0.63 and 1.88 nm by changing the pore size as a template and the preparation conditions. The band-gap energy of WO3-QDs expanded from 2.87 to 3.45 eV with a decrease of the dot size. The expansion of the band-gap is mainly ascribed to the upshift of the conduction band edge.
Remarkable quantum confinement effects are expected to be observed on transition metal oxides QDs in the sub-nanometer region. However, production of the sub-nanometer QDs is generally difficult by conventional techniques. We have developed the preparation method of sub- and single-nanometer QDs using supermicroporous silicas as templates. In the present study, we investigated the band structure change of WO3-QDs by controlling the dot size from sub-nanometer to two nanometers.
WO3-QDs were prepared in supermicroporous silicas by impregnating an aqueous solution of peroxotungstic acid. After the impregnation, the products were calcined at 450#730;C to produce crystalline WO3. The size of WO3-QDs decreased with a decrease of the pore size as a template, and increased by repetition of the impregnation. By changing the preparation conditions, we succeeded in control of the size of WO3-QDs in the range of 0.63 and 1.88 nm.
The band-gap of WO3-QDs depended on the dot size due to quantum confinement effects. We tuned the band-gap energy of WO3-QDs in the range of 2.87 and 3.45 eV by control of the dot size. A significant upshift of the conduction band edge of WO3-QDs with decreasing the dot size was confirmed by the photoreduction of oxygen molecules under UV irradiation with the ESR spin-trapping technique. The photoreduction efficiency was obviously increased with a decrease of the dot size. Thus, the band-gap expansion of the WO3-QDs is mainly attributed to the upshift of the conduction band edge.
9:00 AM - II11.06
Stoichiometry of GaAs nanodots on GaAs(001
Haeyeon Yang 1 Anahita Haghi Zadeh 1
1South Dakota School of Mines and Technology Rapid City USA
Show AbstractEpitaxial nanostructures have been typically fabricated by the strain-driven self-assembly method, the so-called Stranski-Krastanov (S-K) growth technique. The self-assembly is limited to hetero-epitaxial systems as the S-K growth method generally requires a lattice mismatch larger than 2% such as in InGaAs quantum nanostructures.1, 2 In this paper, we present a strain-free, self-assembly of GaAs nanodots on GaAs(001) surfaces. The self-assembly is due to the rapid thermal relaxation of materials heated at the interference maxima lines that are created by overlapping two laser pulses on the surface interferentially. The experimental procedure is similar to those reported recently such as laser induced InGaAs quantum dots (QDs)3 and large scale direct patterning of GaAs,4 which is realized by applying interferential irradiations of high power laser pulses on the surfaces. The morphology of the GaAs nanodots are characterized by atomic force microscopy (AFM) and field emission scanning electron microscopy (FESEM) while their stoichiometry has been examined by energy dispersive X-ray spectroscopy (EDS) in the FESEM machine. The morphological study indicates that the width and length of nanodots are a few tens of nanometers while their height is around ten nanometers. The nanodot dimensions are much smaller than the interferential period and the wavelength of laser used but comparable to findings in our recent reports of QDs produced by direct laser annealing.3 For the stoichiometry study of the nanodots, low electron voltages less than 5 kilovolts have been used in order to enhance the surface sensitivity of the resulting X-ray fluorescence due to the small inelastic mean free path of electron (~ 4 nm at 3 kV) in GaAs.5 The stoichiometric analysis indicates that the relative gallium content increases with size. However, the nanodots&’ arsenic content as well as the relative Ga composition reaches to those of GaAs substrate when the dot size becomes smaller than 100 nm. The chemical analysis suggests a novel route of strain-free semiconductor nanodots.
[1] K. Dong Jun and Y. Haeyeon, Nanotechnology 19, 475601 (2008).
[2] H. Yang, D.-J. Kim, J. S. Colton, T. Park, D. Meyer, A. M. Jones, S. Thalman, D. Smith, K. Clark, and S. Brown, Applied Surface Science 296, 8 (2014).
[3] C. M. Clegg and H. Yang, Solar Energy Materials and Solar Cells 108, 252 (2013).
[4] H. Yang, MRS Online Proceedings Library 1628 (2014).
[5] L. Zommer, B. Lesiak, A. Jablonski, G. Gergely, M. Menyhard, A. Sulyok, and S. Gurban, Journal of Electron Spectroscopy and Related Phenomena 87, 177 (1998).
9:00 AM - II11.07
Direct Laser Fabrication of Nanostructures on Si(001)
Haeyeon Yang 1 Anahita Haghi Zadeh 1
1South Dakota School of Mines and Technology Rapid City USA
Show AbstractNanoscale triangular pits has been observed when MoS2 sheets are heated in air1 while silver nano triangles are reported by nanosphere lithography2 and gold nano triangles have been synthesized chemically.3 In this paper, we present a strain-free, self-assembly of Si nano triangles on Si(001) surfaces. The self-assembly is due to the rapid thermal relaxation of materials heated at the interference maxima lines that are created by overlapping two laser pulses on the surface interferentially. The experimental procedure is similar to those reported recently such as laser induced InGaAs quantum dots (QDs)4 and large scale direct patterning of GaAs,5 which is realized by applying interferential irradiations of high power laser pulses on the surfaces. The morphology of the nano triangles are characterized by atomic force microscopy (AFM). The morphological study indicates that the triangles are general right triangles which has adjacent side is about 20% longer than the opposite side while pointed toward the same direction. The pointing direction of the hypotenuse side is off by 20 degrees from the trench direction of the nano trenches that are produced by the direct interferential patterning process, similarly to those reported from the GaAs surfaces.5 The length of adjacent side is between 100 and 300 nanometers while the height is a few tens of nanometers. The dimensions of the nano triangles are much smaller than the interferential period and the wavelength of laser used. The morphological analysis suggests a novel route of strain-free semiconductor nano triangles on Si surfaces.
[1] H. Zhou, F. Yu, Y. Liu, X. Zou, C. Cong, C. Qiu, T. Yu, Z. Yan, X. Shen, L. Sun, B. Yakobson, and J. Tour, Thickness-dependent patterning of MoS2 sheets with well-oriented triangular pits by heating in air, Nano Research 6, 703 (2013).
[2] A. J. Haes, J. Zhao, S. Zou, C. S. Own, L. D. Marks, G. C. Schatz, and R. P. Van Duyne, Solution-Phase, Triangular Ag Nanotriangles Fabricated by Nanosphere Lithography, The Journal of Physical Chemistry B 109, 11158 (2005).
[3] P. Kumar, P. Singh, K. Kumari, S. Mozumdar, and R. Chandra, A green approach for the synthesis of gold nanotriangles using aqueous leaf extract of Callistemon viminalis, Materials Letters 65, 595 (2011).
[4] C. M. Clegg and H. Yang, Guided assembly of quantum dots through selective laser heating, Solar Energy Materials and Solar Cells 108, 252 (2013).
[5] H. Yang, Direct laser patterning of GaAs(001) surfaces, MRS Online Proceedings Library 1628 (2014).
9:00 AM - II11.08
Synthesis of Impurity Doped Colloidal Silicon Nanocrystals from Hydrogen Silsesquioxane (HSQ)
Hiroshi Sugimoto 1 Kenji Imakita 1 Minoru Fujii 1
1Kobe University Kobe Japan
Show AbstractSemiconductor nanocrystals (NCs) are of great interests for applications in electronics and biology. The energy state structure of semiconductor NCs could be tailored by controlling their size, shape and surface chemistry. In order to further tune the energy state structure and resultant optical and electrical transport properties of NCs for applications in optoelectronic devices, intentional doping of impurities is indispensable. However, synthesis of impurity-doped semiconductor NCs is a challenging task. It is more difficult in Si NCs because of the strong “self-purification” effect[1]. Due to the existing difficulties in controlled synthesis of doped Si NCs, research on impurity-doped Si NCs is still limited.
In this work, we demonstrate a novel vacuum-free route for scalable synthesis of boron (B) and/or phosphorus (P) doped Si NCs. This method is an extension of that developed for the synthesis of intrinsic Si NCs, i.e., thermal decomposition of hydrogen silsesquioxane (HSQ) in N2 gas atmosphere [2]. Here, we used boric acid and/or phosphoric acid solutions along with the HSQ solution for the synthesis of B and/or P doped Si NCs. During the growth of Si NCs by thermal decomposition of HSQ, B and/or P atoms are incorporated into Si NCs and we obtained borophosphosilicate (BPSG) glasses containing B and/or P doped Si NCs. BPSG glasses are then etched in HF solution and Si NCs are liberated in the solution. Finally, isolated Si NCs are transferred to methanol and a completely dispersed Si NC ink is formed.
In this presentation, we will mainly focus on successful growth of B and P codoped Si NCs. We characterize the structure of codoped Si NCs by employing STEM and EELS mappings, XPS and Raman spectroscopy. It is shown that codoping results in the formation of high B and P concentration shells on the surface of Si NCs cores and makes NCs dispersible in polar solvents without any surface functionalization processes[3]. We also are able to prepared highly transparent crack-free Si NC films by spin-coating of Si NC inks. The codoped Si NC inks and films exhibit size-tunable photoluminescence in a wide range from 1.0 to 2.0 eV. The conductivity of spin-coated films is around 10-4 S/cm, which is seven orders of magnitude larger than that of films produced from organic-capped NCs. [1] T. L. Chan, et al., Phys. Rev. B 2010, 82, 115421. [2] C. M. Hessel, et al., Chem. Mater., 2012, 24, 393. [3] H. Sugimoto, et al., J. Phys. Chem. C. 2012, 116, 17969.
9:00 AM - II11.09
Combining Multi-Coordinating Amphiphilic Polymer Design with a Mild Photoligation Strategy Provides Compact, Highly Stable and Reactive Quantum Dots
Wentao Wang 1 Xin Ji 1 Anshika Kapur 1 Goutam Palui 1 Hedi Mattoussi 1
1Florida State University Tallahassee USA
Show AbstractWe introduce a new set of multi-coordinating polymer ligands that combine two distinct metal-chelating groups: lipoic acid and imidazole. The combination of these two groups provides additional flexibility and addresses issues of potential oxidation of thiol-based ligands and protonation of imidazole-based ligands under acidic conditions. The ligand design relies on the introduction of a large but controllable number of amine-terminated lipoic acid and histamine, along with hydrophilic moieties and reactive functionalities into poly(isobutylene-alt-maleic anhydride) chain, via a one-step nucleophilic addition reaction. We further demonstrate that this design is fully compatible with a novel and mild photoligation strategy to promote the in-situ ligand exchange and phase transfer of hydrophobic QDs to aqueous media under borohydride-free conditions.
Ligation with these polymer platforms combines the benefits of thiol and imidazole coordination, and provides highly fluorescent QDs that exhibit great long-term colloidal stability over a wide range of conditions, including storage at nanomolar concentration and ambient conditions, and dispersion in 100% growth media and in the presence of competing strong reducing agents. Moreover, this strategy has provided compact QDs that are suitable for use in energy and charge transfer interactions. We show that by incorporating amine and other reactive groups in the polymer ligand permits covalent conjugation of fluorescent dye and redox active dopamine to the polymer-coated QDs, producing fluorescent platforms where emission can be controlled/tuned by either Förster Resonance Energy Transfer (FRET) or pH-dependent charge transfer (CT) interactions. We will describe the design, optimization, and characterization of the polymer ligand along with the integration of the resulting polymer-coated QDs in fluorescent platforms controlled via FRET and CT interactions.
9:00 AM - II11.10
Green Production of Monodisperse Perovskite Nanocrystals and Printable Transparent Nanocrystal Solution
Limin Huang 1 Shuangyi Liu 2 Wanlu Li 2 Xiaohua Liu 2 Jackie Li 2 Stephen O'Brien 2 3
1South University of Science and Technology of China Shenzhen China2City College of New York New York USA3The CUNY Energy Institute, City University of New York New York USA
Show AbstractSmart materials like perovskite nanocrystals and corresponding stable suspension/solution have attracted a great deal of interest owing to their unique physical and chemical properties. The nanocrystal synthesis, however, may require complex procedure and suffer from tedious separation and purification process, which is disadvantageous to scale-up production. This presensation reports a simple, green and scalable “self-collection” growth technology that produces monodisperse perovskite nanocrystals including BaTiO3 (BT), ternary oxide BaxSr1-xTiO3 (BST) and quaternary oxide BaSrTiHfO3 (BSTH) in high crystallinity and high purity, in a low temperature range between 15oC and 55oC with the absence of surfactants or stabilizers. The synthesis is based on a sol-gel process of metal organic sources in a simple alcohol solvent such as ethanol with controlled small amount of water. Under the control of temperature and concentrations of water and metal organic source, the hydrolysis process is well tuned so that the as-synthesized nanocrystals can self-accumulate from a clear gel to form a pure and highly crystalline solid gel monolith with nearly 100% yield under static conditions, and it can be well separated from a liquid phase. The self-collection of the nanocrystals enables easy separation and purification of the solid products as well as recycling of the liquid solvent. The green process can be readily scaled up because of its simplified procedure, self-collection of products with tunable sizes (7-12 nm)and extremely high yield and minimum waste while making aggregate-free high quality nanocrystals and superstable transparent nanocrystal solution with no surfactants. The synthesis mechanism has also been carefully studied. In the absence of surfactant or stabilizer, the nanocrystals show good dispersibility in polar solvents due to the surface hydrophilicity and aggregate-free nature.The printable perovskite nanocrystal solution, which is stable up to 2 years, has demonstrated great capability for various incorporation and thin film fabrication such as making high performance dielectric thin films.
9:00 AM - II11.11
Hydroxylation Effect on the Growth of Indium Phosphide Quantum Dots
Lisi Xie 1 Daniel Kelly Harris 1 Moungi G. Bawendi 1 Klavs F. Jensen 1
1MIT Cambridge USA
Show AbstractWidespread adoption of quantum dots (QDs) for many applications is limited by regulations concerning Cd content, but current Cd free quantum dots (of which InP QDs are most promising) suffer from poor size tunability, broad spectral features, and low quantum yields. In the past decade, the use of indium carboxylates as the precursors has greatly improved the quality of InP QDs, but their properties still lag behind that of CdSe QDs and do not meet the application requirements. For example, the growth of InP QDs exhibits a hindered growth feature, making it difficult to produce large InP QDs (first absorption peak redder than 600 nm), while maintaining narrow size distributions. To improve the synthetic chemistry, mechanistic studies on InP QD growth have focused on the phosphorous precursors and unreacted acids, but little attention has been drawn to the widely used indium precursors.
In this presentation we show the importance of water impurities carried in the widely used indium myristate precursors in inhibiting the growth of InP QDs. The use of microfluidic continuous flow reactors allow us to precisely control the growth conditions. We find that when dry indium myristate is used for the synthesis, InP QD growth can be tuned by changing temperature and time and the first absorption peak can reach redder than 600nm, while with wet Indium myristate the growth stops after the first absorption peak reaches around 550 nm. We propose that hydroxylation of the indium precursors, intermediate species or nanoparticle surface by water is a possible explanation for the hindered growth. We demonstrate the hydroxylation effect by observing a similar effect between base and water on the InP QD growth. We find that QDs synthesized in the presence of water or base have markedly narrower spectral features than those synthesized under dry conditions. Apparently, the choice of indium carboxylates as the indium precursors faces a synthetic challenge: with the existence of the water impurity, the size distribution of the QDs is improved but the growth is inhibited; without water, the growth continues but the absorption peaks are not well-defined.
9:00 AM - II11.12
High-Purity Colloidal Quantum Dot Solids via Spray-Coating of Ultrathin Layers
Illan Kramer 1 2 James Minor 1 Gabriel Moreno-Bautista 1 Lisa Rollny 1 Pongsakorn Kanjanaboos 1 Jeffrey McDowell 1 Oleksandr Voznyy 1 Damir Kopilovic 1 David Zhitomirsky 1 Joel Tang 3 Sjoerd Hoogland 1 Edward H. Sargent 1
1University of Toronto Toronto Canada2IBM Canada Toronto Canada3University of Toronto Toronto Canada
Show AbstractSolution-processed solar cell reports to date have relied on lab-scale batch-processing methods such as spin-coating. Here we report spray-coated colloidal quantum dot (CQD) solar cells whose performance is superior to that of reference spin-cast devices. We identify a key organic-inorganic complex - an impurity in the quantum dot film - that we show is responsible for limiting diffusion length in prior CQD solar solids. We prove that if, instead, one exercises monolayer control over deposition and purification of films using the new spray process, the undesired impurity species is thoroughly removed. Using a suite of experimental measurements and theoretical simulations, we identify the composition and energetics of an organo-lead complex that creates a deep recombination centre and limits diffusion lengths in prior CQD solids. The new, manufacturable process is scalable, uniformly covering large areas exceeding 60 cm2. We report the first flexible CQD solar cells with power conversion efficiency of 7.2%, and we prove that the new process is compatible with the application of quantum dot active layers to curved substrates.
9:00 AM - II11.13
FePtAu Ternary Alloy NanoParticles with Both Magnetic and Plasmonic Properties as Novel Bioprobes
Priyank Mohan 1 Mari Takahashi 1 Rishika Rastogi 1 Derrick Mott 1 Shinya Maenosono 1
1Japan Advanced Institute of Science and Technology Nomi Japan
Show AbstractMagnetoshy;-plasmonic hybrid nanoparticles (NPs) have attracted much attention with their demonstration as potential vehicle for simultaneous plasmonic monitoring and magnetic manipulation. These dual functional probes hold great promise as sensing probes for diagnostics and environmental applications such as immunomagnetic/chemo-magnetic separation under plasmonic imaging, dual mode imaging (MRI and plasmonic) and SERS sensing. Much effort has been made in the field of synthesizing mainly core-shell particles such as Fe3O4@Au/Ag or FePt@Au/Ag showing their promising ability for biological sensing applications, but there are still several limitations regarding the synthetic techniques and resulting particle characteristics such as inability to uniformly coat magnetic core with the shell material, control over oxidation characteristics of both core and shell, as well as others. In addition, there is still a large lack in understanding how to truly control the magnetic characteristics of the core material which are suppressed by the addition of shell material or encapsulating ligands. With this in mind, we have developed FePtAu ternary alloy NPs, which are used as a new material for dual functional magnetic/plasmonic applications. In this work, we synthesized FePtAu ternary alloy NPs which reveals the potential for dual application of plasmonic imaging and magnetic separation, characterized with the help of TEM-EDS, XRD, ICP-OES, UV-Vis., SQUID and Confocal Laser Scanning Microscopy (CLSM). Preliminary results suggest that the particles can prove to be a promising material in the biomedical field. Our research leads to a deeper understanding of the critical role of physical and chemical properties (precise control of size, shape and composition) and their correlation to the usage of particles in cellular diagnostics, imaging and monitoring.
9:00 AM - II11.14
CuxMySz Nanocrystals with Unique Structural and Compositional Profiles for Semiconductor Applications
Derrick Mott 1 Maninder Singh 1 Dipali Ahuja 1 Shunsuke Nishino 1 Mikio Koyano 1 Shinya Maenosono 1
1Japan Advanced Institute of Science and Technology Nomi Japan
Show AbstractSemiconductor nanoparticles are becoming increasingly important for use in applications such as solar cells, thermoelectrics, solar catalysis and others because of their unique nano-based characteristics. These nanoparticle materials offer not only enhanced semiconducting properties, but also provide a straightforward path to device fabrication through printing of nanoparticle inks or roll printing processes. The ability to tune the resulting semiconducting properties is essential to harnessing the resulting materials for a desired application. In addition, the next generation of semiconductors should be composed of abundant and non-toxic elements, making the materials sustainable. To help meet these requirements we have developed a CuxMySz based nanoparticle system where copper sulfide is combined with other sustainable metals such as zinc or iron to create a ternary material. These ternary materials display remarkable tunability in their resulting semiconducting properties simply by changing the particle composition. Many of the materials also display unique particle structures such as the Cu-Zn-S nanoparticle system, which leads to Cu2S-ZnS heterostructured Janus particles. The semiconducting properties of the resulting materials are also studied, for example the thermoelectric characteristics of Cu-Fe-S as a function of material composition. The presentation will focus on a summary of our recent efforts and advancements in creating sustainable nanoparticle based semiconducting materials built upon the CuxMySz system. The experimental results will be discussed using techniques such as XRD, XPS, TEM, STEM-HAADF, EDS Elemental Mapping and others.
9:00 AM - II11.15
Large Area Ordered Arrays of Silver Nanoparticles via Solid-State Dewetting on a Nano-Imprinted Sol-Gel Layer
Arthur Le Bris 1 Fatah Maloum 2 Jeremie Teisseire 2 Fabien Sorin 1
1Ecole Polytechnique Famp;#233;damp;#233;rale de Lausanne (EPFL) Lausanne Switzerland2Saint-Gobain Recherche Aubervilliers France
Show AbstractOrdered metallic structures with nanoscale feature size can exhibit unique properties in terms of light scattering, confinement and absorption. They constitute promising systems in various applications, from energy harvesting, to bio-sensing, surface enhanced Raman spectroscopy, and medical therapy. Several of these applications however require deploying such nanostructured arrays over macroscopic surfaces, which remain a significant challenge in materials science and processing. A simple and scalable approach to generate metallic nano-objects involves the solid-state dewetting of very thin metallic films. This technique however results in randomly distributed islands with an important size distribution. To control the position and size of the metallic particles, Giermann and Thompson recently proposed a new method by patterning the surface on which the film is deposited and annealed [APL 86, 121903 (2005), JAP 109, 083520 (2011)]. In this approach, dewetting is directed through a surface diffusion of metallic atoms induced by the difference of chemical potential due to local curvature gradient. Different patterns of metallic nano-object with controlled size and position have been obtained and investigated with this approach.
To fully exploit the great potential of a simple thermal annealing step to generate highly ordered nanoparticles arrays over large area, it must be combined with an equally simple and versatile way to generate the underlying pattern. So far, these patterns have been obtained for the most part by photolithography techniques on Silicon wafers that remain complex and difficult to scale. In this contribution, we propose an innovative, simple and scalable approach to obtain metallic nanoparticle ordered arrays over large area substrates of any type (wafers, glass, polymeric etc..). In particular, we demonstrate highly ordered arrays of silver nano-objects on a transparent glass substrate by inducing the solid-state dewetting of a thin silver film directly on a sol-gel layer patterned by nano-imprint lithography. The excellent organization of the array is demonstrated by Atomic Force Microscopy (AFM) and Scanning Electron Microscopy (SEM) image analysis, showing both order and uniform size distribution (250 nm diameter, with a std of 20 nm for a 350 nm period). We show that several periods and nanoparticle sizes can be achieved, and highlight the scalability of our process by fabricating an 8×8 cm2 sample with a uniform array of metallic nanoparticles. We also investigate the optical properties of these structures and discuss the observed extinction peaks. Given the ability to change the periodicity and particle size, the deposited metallic layer, but also the dielectric constant of the underlying sol-gel layer, this approach represents a promising tool to fabricate plasmonic substrates with fine-tuning of the optical response, at scale and cost associated with liquid-based processes.
9:00 AM - II11.16
Large-Scale Silica Overcoating of Gold Nanorods with Tunable Shell Thicknesses
Wei-Chen Wu 1 Joseph B. Tracy 1
1North Carolina State University Raleigh USA
Show AbstractGold nanorods (GNRs) with SiO2 overcoatings are of interest for enhancing the stability of GNRs during photothermal heating, for allowing functionalization with silanes, and for some specific applications, such as ultrasound imaging. While methods have recently been developed for synthesizing GNRs on a large scale, SiO2 overcoating reactions are still limited to small reaction scales. Here, we report a method for large-scale overcoatings, which gives ~200 mg of SiO2-overcoated GNRs (SiO2-GNRs). SiO2 overcoatings are deposited onto and encapsulate the cetyltrimethylammonium bromide (CTAB) bilayers that stabilize GNRs by continuously adding tetraethoxysilane (TEOS) via syringe pump. Continuous addition is important for keeping the TEOS concentration sufficiently low to allow for uniform overcoatings on individual GNRs. Optical absorbance spectra of SiO2-GNRs closely resemble uncoated GNRs, which indicates overcoating of single (rather than multiple) GNRs and confirms that they remain well dispersed. The SiO2 shell thickness can be controlled by adjusting the reaction conditions, giving shells as thick as 20 nm. For thin (< 10 nm) coatings, addition of a poly(ethylene oxide) silane (PEG-silane) during growth allows for facile control over the shell thickness, giving overcoatings as thin as 3 nm. The bulky PEG chain terminates further crosslinking and deposition of SiO2; timing of addition of PEG-silane allows for control of the SiO2 shell thickness.
9:00 AM - II11.17
Optical and Imaging Properties of Highly Luminescent Type II CdTe/CdSe Nanoparticles Synthesized via a Green Method
Samuel Oluwatobi Oluwafemi 1 Vuyelwa Ncapayi 1 Sandile Phindile Songca 2
1Cape-Peninsula University of Technology Capetown South Africa2Walter Sisulu University, Private bag XI, Mthatha South Africa
Show AbstractWe herein report the optical and imaging properties of highly luminescent water soluble mercaptopropanoic acid (MPA) capped CdTe/CdSe core shell nanoparticles (NPs). The synthesis of the CdTe/CdSe NPs was carried out via a simple, one pot and economical route, involving the use of greener materials under ambient environment in the absence of an inert atmosphere. The temporal evolution of the size and optical properties of the nanomaterials was investigated by varying the reaction time, pH and precursor ratio. The as-synthesised nanomaterials were characterised using UV-vis absorption and photoluminescence (PL) spectroscopy, Fourier transform infrared spectroscopy (FTIR), x-ray diffraction (XRD), transmission electron spectroscopy (TEM), high resolution electron transmission microscopy (HRTEM) and energy dispersive spectroscopy (EDS). The nanoparticles obtained were of high quality and monodispersed with high absorption and emission features. Addition of Se precursor to produce CdSe layer on top of CdTe core resulted in significant redshirt of both the absorption and emission maxima. The resultant core-shell nanomaterials posses high luminescent quantum yield, good photostability and chemical stability with excellent passivation which shows their potential application in cell imaging.
9:00 AM - II11.18
Green Synthesis of CdSe/ZnS Coreshell Quantum Dot Nanophosphors and Its Poly Methyl Methacrylate Composite Thin Film in the Visible Spectral Range
Samuel Oluwatobi Oluwafemi 1 Sneha Mohan 1 2 Soney George 3 Didier Rouxel 4 Nandakumar Kalarikkal 2 5 Sabu Thomas 2 6
1Cape-Peninsula University of Technology Capetown South Africa2International and Interuniversity Centre for Nanoscience and Nanotechnology, Mahatma Gandhi University Kerala India3Amal Jyothi College of Engineering Kerala India4Universitamp;#233; de Lorraine, Institut Jean Lamour, Vandoeuvre-lamp;#232;s-Nancy Nancy France5School of Pure and Applied Physics, Mahatma Gandhi University Kerala India6School of Chemical Sciences, Mahatma Gandhi University Kerala India
Show AbstractWe are herein report the synthesis of highly-fluorescent CdSe/ZnS core-shell quantum dots (QDs) nanophosphors via a simple, non-phosphine and one pot synthetic method in the absence of an inert atmosphere. The as-prepared nanocrystallites were characterized by Fourier transform infrared spectroscopy (FTIR), ultraviolet-Visible (UV-vis) and photoluminescence spectroscopy, transmission electron mcroscopy (TEM), high resolution TEM (HRTEM), Raman spectroscopy, X-ray diffraction (XRD) and energy-dispersive X-ray spectroscopy (EDX). The obtained CdSe/ZnS QDs were of high quality with sharp absorption peaks, bright luminescence, narrow emission width and high PL quantum yield (up to 74 %) without any size sorting. The TEM images showed that the QDs are small and spherical with narrow size distributions. The particle sizes as calculated from TEM image after the addition of ZnS shell were between 1-4.7 nm. The presence of the lattice fringe in the HRTEM image confirmed the crystallinity of the material. The Raman analysis of the core-shells revealed the formation of an alloyed interface between the core and the shell while the XRD showed that the CdSe/ZnS QDs have cubic structure with high crystallinity. The core-shell QDs retained their emission property after ligand exchange with mercaptoundecanoic. The dispersion of the obtained core-shell QDs in PMMA matrix resulted in the fabrication of highly fluorescent PMMA- CdSe/ZnS core shell QDs polymer nanocomposite film with narrow emission width.
9:00 AM - II11.19
Structural Engineering in Core/Shell Heterostructure Leading to Diverse Efficient Applications
Avijit Saha 1 Ranjani Viswanatha 1
1Jawaharlal Nehru Centre for Advanced Scientific Research Bangalore India
Show AbstractCore/shell nanocrystal quantum dots (NQDs) have shown great potential as promising materials for a diverse set of applications as active material in light emitting diodes (LEDs) and energy harvesting devices like photovoltaics.1
Internal structure joins the family of property tunability of quantum dots. So far, fine tuning of material properties has been restricted to composition, size and shape. Here in this work, we have shown, that the control of interfacial defects in core-shell quantum dots can be used to enhance the photo physical properties, device performance and even in reverse applications from same materials. Type-I core/shell NQDs are known to be efficient electroluminescent materials as their excitons pairs are confined inside the core but still the quantum yield (QY) is not anywhere near unity, whereas, the spatial separation of electron and hole in type-II system gives rise to less probability of recombination which results in efficient photovoltaic material. By engineering the interfacial defects in type-I CdSe/CdS core-shell NQD&’s we have shown that near unity quantum yield2 can be achieved and a simple un-optimized LED obtained from these NQDs as active layer demonstrate performances in excess of 7,000 Cd/m2 with power conversion efficiency of ~ 1.5 lm/W that is comparable to the best NQD based LEDs (1-3%).2 On the other way, microstructure engineering by varying the local Cd and Te/S environment using well known type-II CdTe/CdS as the host, a highly promising photovoltaic material can easily be converted to an efficient photo-emitting material leading to competent and contrasting applications.3
1. P. V. Kamat, J. Phys, Chem. C, 2008, 112, 18737-18753.
2. A. Saha, K. V. Chellappan, K. S. Narayan, J. Ghatak, R. Datta and R. Viswanatha, J. Phys. Chem. Lett., 2013, 4, 3544-3549.
3. A. Saha, S. Chattopadhyay, T. Shibata and R. Viswanatha, J. Mater. Chem. C, 2014, 2, 3868-3872.
9:00 AM - II11.20
Silver Nanostructure Incorporated Electrospun Nanofibers for Sensing Applications
Sathish Dhanapal 1 Bosky Sharma 1 Raghvendra P Choudhary 1 Shobha Shukla 1 Sumit Saxena 1
1Indian Institute of Technology Bombay Mumbai India
Show AbstractThe optical properties of metallic nanoparticles offer a robust platform for many sensing applications. Surface Plasmon Resonance (SPR) and Surface Enhanced Raman Scattering (SERS) phenomenon observed in metal nanoparticles have been employed in various sensing devices and its potential has been clearly demonstrated as a sensor. Conventional methods of fabricating these sensing devices have several drawbacks such as oxidation, low sensitivity etc, which can be overcome by electrospinning nanoparticles in biocompatible polymers. These polymer encapsulated nanoparticles offer more resistance to oxidation thereby increasing the shelf life. Synthesis of silver nanoparticles and their characterization such as TEM, absorption measurement along with simulation of electromagnetic response using FDTD method will be presented. Further, fabrication process of plasmonic nanocomposite by incorporating nanoparticles in polymer nanofibers using electrospinning and their plasmonic response will also be discussed
9:00 AM - II11.21
Crystallinity and Interface Effects in Si and Ge Quantum Structures
Lyudmila Goncharova 1
1University of Western Ontario London Canada
Show AbstractIon scattering has been very successfully applied in our group to study interfaces of devices based on silicon and higher-mobility semiconductors, as well as to prepare arrays of nanocrystals for photonic applications. Among quantum emitters semiconductor quantum dots (QD) have the highest emission rates and can be easily integrated with semiconductor technology. QD emission properties often degrade because of various imperfections at the QD-dielectric interface.
We also look at the relationship between the preparation method of Si and Ge nanostructures (NSs) and the structural, electronic, and optical properties in terms of quantum confinement (QC). QC in NSs causes a blue shift of the gap energy with decreasing NS dimension. Directly measuring the effect of QC is complicated by additional parameters, such as stress, interface and defect states. In addition, differences in NS preparation lead to differences in the relevant parameter set. A relatively simple model of QC, using a 'particle-in-a-box'-type perturbation to the effective mass theory, was applied to Si and Ge quantum wells, wires and dots across a variety of preparation methods. The choice of the model was made in order to distinguish contributions that are solely due to the effects of QC, where the only varied experimental parameter was the crystallinity. We will discuss the implications of the results on current models of QC.
9:00 AM - II11.22
Synthesis and Application of Sub-Nanometer Sized Transition Metal Oxide Quantum Dots
Hiroto Watanabe 1 Shogo Ohta 2 Takafumi Suzuki 2 Yuya Oaki 2 Hiroaki Imai 2
1Tokyo Metropolitan Industrial Technology Research Institute Tokyo Japan2Keio University Yokohama Japan
Show AbstractIn this study, size-selective syntheses of single- to sub-nanometer sized transition metal-oxide quantum-dots (QDs) were realized by using newly synthesized supermicroporous silicas (SMPSs) as templates. The SMPSs with precisely tuned pore in the diameter range of 0.6-3.0 nm were successfully prepared due to the enhanced micelle formability achieved in the solvent-free synthetic method. These SMPSs act as excellent templates for the syntheses of various quantum-dots, i.e. CuO, CoO, WO3 etc. The QDs were prepared by simple impregnation of precursor solution into pore followed by calcination to obtain metal oxides. These QDs exhibit significant expansion of their bandgap due to the strong quantum-size effect achieved around 1nm.
For CuO and CoO QDs, the color of the materials were changed from black (bulk) to blue (QDs) due to the strong expansion of bandgap. Furthermore, we observed a strong temperature dependency of bandgap which was ascribed to a strong electron-phonon coupling in the confined space. The present temperature dependent bandgap shifts occurred in the energy range of visible light region. Thus, the significant color changes from blue to green were observed. This thermochromic effect is one of the unique features which were only achieved in quantum-sized nano dots. In the case of CoO QDs, tetrahedral coordinated oxide, which was only reported for nano particle, was selectively obtained. In addition, the present CoO exhibits a high thermal stability up to 873 K, while the thermal phase transition from tetrahedral to octahedral structure was reported for the particles having their sizes in several tens of nano meters. In the case of WO3 QDs, photocatalytic reduction of molecular oxygen was realized by using WO3 QDs having their particle size below 1.2 nm. Furthermore, the catalytic efficiency of the photocatalytic reduction was increased with decreasing their particle size.
In summary, we discovered several unique functionalities which were only observed for sub-nanometer sized transition metal-oxide. The present synthetic method could be utilized to the production of wide variety of metals and metal oxides. Thus, the present synthetic method using SMPSs may open up extensive fields of sub-nanotechnology to explore the novel functionality of sub-nanomaterials.
9:00 AM - II11.23
Scalable Fabrication of Digitally Designed Spherical Multimaterial Particles Enabled by In-Fiber Emulsification
Guangming Tao 1 Joshua J Kaufman 1 Soroush Shabahang 1 Ayman F Abouraddy 1
1University of Central Florida Orlando USA
Show AbstractThe fabrication of structured particle in both the nano- and micro-scale is a nascent field that has promising applications ranging from drug delivery to catalysts, e-paper, optical and electronic sensors, interface stabilizers, microelectronics, magnetic devices, and in nanobiotechnology. There are two major nano- and micro-particle fabrication strategies that exploit either bottom-up or top-down processing techniques. Bottom-up fabrication techniques involve self-assembly of molecules and atoms to create particles, while top-down fabrication techniques generate particles through the processing of bulk materials. Most bottom-up techniques are solution-based and have large yields, but are restricted to simple structures [1]. Lithography and imprint lithography are good at shape-engineering particles at small production scales, and typically cannot produce structured multimaterial spherical particles. Moreover, lithography-based approaches in general suffer from a lack of compatibility with organic materials due to some of the typically necessary processing steps to define particle shape and remove residual “scum layers” or sacrificial films - such as reactive ion etching, baking, ultrasonication, and solvent processing [2].
We report a scalable process that harnesses thermally driven fluid instabilities in multimaterial fibers to produce structured micro- and nanoparticles from a variety of material combinations based on an in-fiber Plateau-Rayleigh capillary instability (PRI) [3-5]. The starting point is producing a macro-scale fiber preform drawn into a fiber with the core combining the materials to be incorporated into the particles encased in a polymer cladding matrix. Thermal treatment of the post-drawn fiber induces the surface-tension-driven Plateau-Rayleigh capillary instability at the heterogeneous interfaces along the fiber length, resulting in the intact core breaking up into a necklace of spherical particles. By judicious design of the core structure, the morphing from a cylinder to spheres controllably produces desired complex geometries, including multilayer particles, ‘beach ball&’ particles with complex azimuthal structure, and multilayer ‘Janus&’ particles where the relative orientation of the different layers is brought under precise control. Through thermal fiber drawing process, we control the nano- and/or micro-particle structure by digitally designing of the centimeter-scale preform structure.
We also describe potential applications of particles with such sophisticated structures in designer optical materials, drug delivery, and pathogen sensing.
[1] A. K. Boal, et al.Nature13, 746 (2000).
[2] J. C. Love, et al.Langmuir17, 6005 (2001).
[3] G. Tao, et al., “Scalable fabrication of digitally designed spherical multimaterial particles enabled by in-fiber emulsification,” unpublished (2014).
[4] J. J. Kaufman, et al.Nature487, 463 (2012).
[5] J. J. Kaufman, et al. Proc. Natl. Acad. Science USA, 110, 15549 (2013).
9:00 AM - II11.24
Ultrathin Gold Nanoframes and Nanowires
Mohammad Mehdi Shahjamali 1 2 Can Xue 2 Freddy Boey 2
1Northwestern University Evanston USA2Nanyang Technological University Singapore Singapore
Show AbstractUltrathin triangular gold nanoframes as thin as 1.8 nm are synthesized in high yield (>95%) through optimized selective gold deposition on the edges of surfactant-free triangular silver nanoprisms with subsequent chemical etching of silver template. These ultrathin gold nanoframes have tunable ridge thickness from 1.8 to 6 nm and exhibit adjustable and distinct surface plasmon resonance bands in the visible and near-IR region. In comparison, etching of the silver template by galvanic replacement can only create thin nanoframes with ~10 nm ridges in lower yields, which have much lower catalytic activity for 4-nitrophenol reduction than the ultrathin nanoframes.
This hybrid synthesis approach can be applied in preparation of ultrathin metallic and multi-metallic nanowires with different sizes and aspect ratios complementary to lithography and has potential application in catalysis and biomedical applications.
9:00 AM - II11.25
Fabrication of Arrays of Nanoparticles with Arbitrarily Designed Shape
Christoph Brodehl 1 2 Siegmund Greulich-Weber 1 2 Joerg K. N. Lindner 1 2
1University of Paderborn Paderborn Germany2Center for Optoelectronics and Photonics - CeOPP Paderborn Germany
Show AbstractModern microelectronics, sensor technology and optics have triggered a strong demand for techniques to pattern macroscopic sample areas with structure sizes down to a few ten nanometers. Shadow mask lithography (SML) is a powerful low-cost technique to pattern large area planar substrate surfaces with 2D arrays of nanoobjects. Nanosphere Lithography (NSL), as one representative of SML, is based on the self-organization of nanobeads from a colloidal suspension forming a hexagonally close packed mono- or bilayer upon controlled drying of the suspension. The free interstices between three mutually touching spheres can be used as mask openings for material deposition on the subjacent substrate. Typically the projection of the mask openings defines the size and triangular (mono-) or hexagonal (bilayers) shape of nanoobjects on the substrate surface. Experimental studies of the thermally induced sintering of polystyrene (PS) nanospheres have shown that the triangle shaped mask openings of monolayers contract to reduced diameter circular apertures located in the equator plain of the spheres. Therefore, thermally treated monolayers of PS monolayer masks can be used to fabricate regular arrays of nanoparticles with particularly small size.
Here we demonstrate that combining the reduced apertures with double-angle resolved deposition makes it possible to fabricate tailored motives of any arbitrarily chosen shape which can be reproducibly formed at each mask opening (up to 1010/cm2). Using a home-built electron beam deposition system with a computer-controlled two-axis sample goniometer (inclination theta;, azimuth phi;) we can write complex structures at each mask opening in parallel. A ray trace type of algorithm was developed to adjust the time dependent angles theta;(t), phi;(t) needed to obtain the desired nanostructure shape and to predict the 3D geometry of the resulting particles. Mask clogging effects are analyzed and can be taken into account in the simulation of the three dimensional shape of nanoobjects. Atomic force microscopy is used to compare the shape of 200 nm diameter optical split ring resonators and other nanoobjects with their layouts.
9:00 AM - II11.26
Synthesis of Metal-Incorporated Cadmium Chalcogenide Heterostructured Nanocrystals with Different Morphologies
Younghun Sung 1 2 Jaehoon Lim 3 Lawrence J Hill 4 Jeffrey Pyun 2 4 Kookheon Char 1 2
1Seoul National University Seoul Korea (the Republic of)2Seoul National University Seoul Korea (the Republic of)3Los Alamos National Laboratory Los Alamos USA4The University of Arizona Tucson USA
Show AbstractColloidal heterostructured hybrid nanomaterials are of great interest due to the synergistic properties originating from different types of metal-semiconductor interfaces and thus lead to various applications such as photocatalysts, bioimaging, and so forth. Here, we report on the synthesis of metal-semiconductor hybrid nanomaterials, more specifically platinum-tipped or -decorated cadmium chalcogenide nanocrystals with different morphologies including nanorods and tetrapods. Depending on the surface conditions of semiconductor nanocrystals, platinum metals nucleate and grow from either one- or both ends of semiconductor nanocrystals, or all around the nanocrystal surface. We further demonstrate the incorporation of cobalt and cobalt oxide nanoparticles (NPs) onto platinum-tipped CdSe@CdS hybrid nanorods, where the terminal platinum NP tips selectively seed the growth of metal cobalt NP tips as either nano-matchsticks with one metal tip or dumbbells with two metal tips at both ends of nanorods. These hybrid nanorods show different self-assembly structures depending on the nature of the nanorod or tetrapod metallic NP inclusions.
9:00 AM - II11.27
Periodic Surface Structures Formed from Femtosecond Laser Injected Point Defect Accumulation and Diffusion
Michael Joseph Abere 1 Ben Torralva 2 Steven M Yalisove 1
1University of Michigan Ann Arbor USA2University of Michigan Ann Arbor USA
Show AbstractHigh spatial frequency (HSF) laser induced periodic surface structures (LIPSS) are a commonly observed phenomenon after femtosecond laser irradiation. The structures have a wavelength less than 0.3 times the laser wavelength and cannot be explained using previously existing models for LIPSS formation. We demonstrate that HSF-LIPSS formation is connected to femtosecond laser injected point defect accumulation and diffusion. Here, we focus on irradiation of GaAs with a linearly polarized, 150 fs, 1 kHz repetition rate, lambda;=780 nm laser. HSF-LIPSS form perpendicular to the laser polarization and bifurcate to reach their final period. The injected defects annihilate on a timescale of hours and can no longer contribute to HSF-LIPSS formation. HSF-LIPSS period is independent of both the fluence within the process window and number of exposures after formation. This characteristic differs from LIPSS with periods determined by the material&’s surface plasmon polariton wavelength. A model for point defect injection that focusses on the unique order to disorder transitions associated with femtosecond laser irradiation will be discussed. A model for point defect diffusion that leads to LIPSS bifurcation will also be discussed.
9:00 AM - II11.28
Colloidal SnTe Nanorods
Shaojun Guo 1 Andrew Fidler 1 Jeffrey Pietryga 1 Victor I Klimov 1
1Los Alamos National Lab Los Alamos USA
Show AbstractThe rational design and synthesis of narrow-gap colloidal semiconductor nanocrystals (NCs) is very important for future photovoltaic, photodetector and thermovoltaic devices because these NCs show the exciting and efficient carrier multiplication behavior. Among different narrow-gap semiconductor materials, bulk SnTe is a IV-VI semiconductor with a direct band gap of 0.18 eV at 300 K. The previous effects to synthesize SnTe NCs only focus on monodisperse SnTe nanoparticles with tunable size. There is no report that can achieve the shape control on SnTe nanocrystals. In this presentation, I will show our recent progress on how to use a solution-phase synthesis strategy for getting monodisperse high-quality colloidal SnTe nanorods with tunable size and aspect ratio. The spectroscopy and field-effect transistor performance of these nanorods will be also discussed.
9:00 AM - II11.29
Visible-Light Active Black Ag/TiOx Particles
Kakeru Fujiwara 2 Yiannis Deligiannakis 2 1 Charalambos G Skoutelis 1 Sotiris E Pratsinis 2
1University of Patras Agrinio Greece2ETH Zurich Zurich Swaziland
Show AbstractVisible-light active materials are sought in solar energy utilization and photocatalysis. Here a novel material architecture active under visible-light and comprising of crystalline titanium suboxide, TiOx, (e.g. Ti4O7, Ti3O5) layers onto nanosilver and TiO2 is formed by scalable flame aerosol technology [1]. Abundant combustion intermediates that are present during synthesis of these materials partially reduce TiO2 and induce strong metal-support interactions (SMSI) resulting in crystalline TiOx as determined by X-ray diffraction. The growth of TiOx can be controlled through its synthesis and annealing conditions to tune the light absorption intensity in the visible spectrum. The as-prepared TiOx is stable upon annealing in air up to 500 oC for two hours. Its presence onto the Ag/TiO2 particle surface and efficiency in generating photoinduced charge separation under visible light is demonstrated by electron paramagnetic resonance spectroscopy. Under visible light (lambda; > 400 nm), these nanoparticles exhibit strong photo-reduction of cationic species (Cr6+) and photo-oxidation of organics (methylene blue).
[1] Fujiwara, K.; Deligiannakis, Y.; Skoutelis, C. G.; Pratsinis, S. E.,. Appl. Catal., B 2014,154-155, 9-15.
9:00 AM - II11.30
One-Step Synthesis of Luminescent Silicon Nanocrystals with a Thin Shell
Anna Fucikova 1 Ilya Sychugov 1 Jonathan G.C. Veinot 2 Jan Linnros 1
1KTH Royal Institute of Technology School of Information and Technology Kista, Stockholm Sweden2University of Alberta Edmonton Canada
Show AbstractHere we present one step synthesis of silicon nanocrystals with a thin shell. The silicon nanocrystals are formed from ketone modified hydrogen silsesquioxane (HSQ) molecules annealed at 1000°C in inert Ar atmosphere with 5% H2. When the modified HSQ is heated to high temperatures the Si atoms are accumulating in the centre of this molecule thus forming a core of a future nanocrystal. The organics and oxygen atoms are pushed to the border of the nanocrystal, where they are reacting to form a future shell. The individual silicon nanocrystals exhibit very narrow emission peak at room temperature (average linewidth ~ 20 meV) compared to silicon nanocrystals embedded in a silicon oxide shell (~ 100 meV) or to alkene-passivated silicon nanocrystals prepared from non-modified HSQ (~ 220 meV), as revealed by single dot spectroscopy. These extremely narrow emission peaks are observed for the first time for silicon nanocrystals at room temperature. New surface groups on silicon nanocrystals were presumably created during annealing from excess oxygen and carbon molecules, as TEM images reveal a presence of a shell of about 1-2 nm. While typical preparation protocols of silicon nanocrystals include several steps including etching with HF and passivation with other surface groups, this method yields nanocrystals with surface passivation in one step.
This shell is most probably responsible for the observed unique optical properties mentioned above. The luminescence from produced nanocrystals covers a broad spectral range from 530-720 nm (1.7-2.3 eV). Blinking and spectral hopping of individual nanocrystals were also detected. The silicon nanocrystals did not show any deterioration of luminescence for at least 12 months. They are more stable than other silicon nanocrystals under strong excitation. These silicon nanocrystals have strong application potential for solar cells, for LEDs or biological labelling and steps towards mass-fabrication of such nanoparticles are being undertaken.
9:00 AM - II11.31
Electrochemical Formation and Dissolution of Silver Shells on Gold Nanorods
Yuki Hamasaki 2 3 Naotoshi Nakashima 2 3 Yasuro Niidome 1
1Kagoshima University Kagoshima Japan2Kyushu University Fukuoka Japan3I2CNER, WPI Fukuoka Japan
Show AbstractGold-silver core-shell nanorods (Au-Ag nanorods) are a novel plasmonic material that has very uniform shapes and shows four surface plasmon (SP) bands in UV-visible regions [1-4]. Here, we demonstrated redox reactions of the silver shells of Au-Ag nanorods were performed. In-situ spectroscopy showed that the redox reactions of the silver shell were strongly affected by the anion type. In the presence of phosphate, the observed spectral changes of the Au-Ag core-shell nanorods were reversible. The formation of insoluble Ag3PO4 suppressed the oxidation of the silver shells and the deposition of silver nanoparticles on the ITO plates [4].
The surfaces of the Au-Ag nanorods were wrapped with hexadecytrimethylammonium chloride and poly(styrene sulfonate). Additional surface modification of the nanorod surfaces resulted in the shape changes of the electrochemically formed silver shells on gold nanorods. It was found that the electrochemical responses of the Ag-Au nanorods could be controlled by the diffusion of silver ions and the surface modifications of the Au-Ag nanorods.
1. Y. Okuno, K. Nishioka, A. Kiya, N. Nakashima, A. Ishibashi, Y. Niidome, Nanoscale,2, 1489 (2010).
2. Y. Tsuru, N. Nakashima, Y. Niidome, Optics Commun.,285, 3419 (2012).
3. Y. Hamasaki, N. Nakashima, Y. Niidome, J. Phys. Chem. C,117, 2521 (2013).
4. Y. Hamasaki, N. Nakashima, Y. Niidome, Chem. Lett.,42, 1093 (2013).
9:00 AM - II11.32
Zinc Nitride Nanocrystals - Synthesis and Characterization
Peter N Taylor 1 Michael A Schreuder 1 TIm M Smeeton 1 Alastair J D Grundy 1 James A R Dimmock 1 Stewart E Hooper 1 Jon Heffernan 1 Matthias Kauer 1
1Sharp Laboratories of Europe Ltd Oxford United Kingdom
Show AbstractWe have recently prepared highly emissive Zn3N2 nanocrystals, for the first time, from cheap and readily available starting materials.1 Our synthetic method involves the portion-wise addition of diethylzinc to a heated (225 oC) mixture of 1-octadecene and oleylamine which is saturated with ammonia gas. After each zinc addition the nanocrystals grow over the course of several minutes as evidenced by a visible bathochromic shift in the absorption of the reaction. Subsequent additions of diethylzinc cause further growth of the nanocrystals. Photoluminescence across the entire visible spectrum is observed when samples removed from such a reaction are illuminated with a UV lamp.
This synthesis method has been used to prepare Zn3N2 nanocrystals with emission wavelengths extending from below 500 nm to above 1100 nm with photoluminescence quantum yields over 50%. We have analysed the materials with X-ray diffraction which confirms the formation of Zn3N2 nanocrystals with an anti-bixbyite crystal structure while elemental analysis gave a Zn:N atomic ratio of 3.0:2.1 which is in good agreement with that expected for Zn3N2.
Transmission electron microscopy has been used to further study the structure of the nanocrystals. This confirms that the bathochromic shift observed in the optical spectra is indeed due to the growth of nanocrystals in the strong quantum confinement regime. We have observed samples containing nanocrystals with average diameters ranging from 2-8 nm. High resolution images have also been obtained and detailed analysis of single crystals in these samples further supports the formation of Zn3N2 nanocrystals with an anti-bixbyite crystal structure.
This work opens up a new class of exciting nanomaterials based on zinc nitride with wide ranging applications due to their high performance and low cost, in addition to being completely free of toxic and scarce elements. Not only is this the first report of zinc nitride nanocrystals it is also the first report of tunable highly emissive nanocrystals made of any nitride based semiconductor, it is our expectation that this work will kick-start a new and fruitful area of research on both zinc nitride and other related materials.
1 P. N. Taylor, M. A. Schreuder, T. M. Smeeton, A. J. D. Grundy, J. A. R. Dimmock, S. E. Hooper, J. Heffernan and M. Kauer, J. Mater. Chem. C, 2014, 2 (22), 4379 - 4382.
9:00 AM - II11.33
Heteroepitaxial Bonding of InP-Si Nanostructure for Hybrid Photonic Devices
Eric Le Bourhis 1 Konstantin Pantzas 1 2 Gilles Patriarche 2 Isabelle Sagnes 2 Anne Talneau 2 David Troadec 3
1Univ. Poitiers Futuroscope France2CNRS Marcoussis France3IEMN Villeneuve d'Ascq France
Show AbstractWafer bonding of III-V semiconductors to Si is an increasingly popular approach for the fabrication of hybrid photonic devices. Bonding is usually achieved by means of a thick oxide layer between the bonded surfaces. This oxide deleteriously affects the mechanical, optical, and thermal properties of the final device. We propose a method to directly bond InP to Si [1] and avoid the penalties incurred in oxide-assisted bonding. 400nm thick InP membranes have been successfully bonded oxide-free to Si for surfaces of ~ 1 cm2 using this method. Bonding was obtained both on bare Si substrates, and nanostructured waveguide Si substrates patterned with 1D parallel trenches and 2D square lattices of holes. In all cases, it is mandatory that all bonds at the interface be reconstructed in order to preserve the crystalline properties and nanostructuration of each material. Special attention has been paid to the surface preparation. Its structure has been checked after acid processing and before bonding. The interface strength has been measured mechanically employing instrumented nanoindentation [2] while its structure and mechanical resistance have been be analyzed in depth with transmission electron microscopy.
[1] K. Pantzas, G. Patriarche, E. Le Bourhis, D. Troadec, A. Itawi, G. Beaudouin, I. Sagnes, A. Talneau, Appl. Phys. Lett., 103, 081901 (2013)
[2] K. Pantzas, E. Le Bourhis, G. Patriarche, A. Itawi, G. Beaudoin, I. Sagnes, A. Talneau, Eur. Phys. J. - Appl. Phys. 65, 20702 (2014)
9:00 AM - II11.34
Tungsten Nanoparticles and Beyond
Christian Schoettle 1 Claus Feldmann 1
1Karlsruhe Institute of Technology (KIT) Karlsruhe Germany
Show AbstractTungsten nanoparticles were obtained from liquid-ammonia-based synthesis via reduction of tungsten(VI) chloride with dissolved sodium. The tungsten nanoparticles exhibit a diameter of 1 - 2 nm and can be easily dispersed in alkanes, showing a grayish-orange color due to red-shifted plasmon resonance absorption. In addition, nanoscaled tungsten carbide can be formed by controlled sintering.[1]
Liquid ammonia is well-known as a water comparable solvent in terms of polarity and solubility of precursors.[2] Due to the low boiling point (minus;33°C), reactions can be performed under strict kinetic control. Therefore, particle growth is limited, leading to small and uniform nanoparticles under complete oxygen- and water-free conditions. Furthermore, solvated electrons, generated by dissolving alkali metals in liquid ammonia, provide extremely strong reducing agents (E0 = minus;2.25 V).[3]
Metal nanoparticles, in general show interesting and unusual properties due to their small size, large surface-to-volume ratio and quantum-confinement effects.[4] The relatively high number of surface atoms leads to a high reactivity of metal nanoparticles that can be, on the one hand, advantageous for the application in catalysis or chemical synthesis. Especially for less-noble metal nanomaterials, the high reactivity, on the other hand, is a challenge. Synthesis and handling are difficult due to oxidation, hydrolysis and agglomeration of the particles.
We addressed the challenge based on liquid-phase syntheses by using strong reducing agents. In addition to our work on tungsten nanoparticles in liquid ammonia, we obtained very fine nanoparticles of the less-noble metals Mo, Re, Fe and Zn by advanced synthesis. The nanoparticles are stable in suspensions for month and show a diameter of < 5 nm with a narrow size-distribution. The high reactivity of the metal nanoparticles is used in follow-up approaches to prepare high quality, nanoscaled metal alloys, carbides or sulfides.[5] To meet the requirements of the synthesis, all reactions are carried out under strict inert-gas conditions, using purified, water- and oxygen-free solvents and chemicals.
References:
[1] C. Schöttle, P. Bockstaller, D. Gerthsen, C. Feldmann, Chem. Commun. 2014, 50, 4547-4550.
[2] F. Gyger, P. Bockstaller, D. Gerthsen, C. Feldmann, Angew. Chem. 2013, 125, 12671-12675.
[3] N. G. Connelly, W. E. Geiger, Chem. Rev. 1996, 96, 877-910.
[4] H. Goesmann, C. Feldmann, Angew. Chem. 2010, 122, 1402-1437.
[5] C. Schöttle, P. Bockstaller, D. Gerthsen, C. Feldmann, in preparation.
9:00 AM - II11.35
Real-Time Optical Monitoring of the Thermal Annealing Effect on the Ag Nanoparticles / Polymers Plasmonic Blends
Spiros Kassavetis 2 1 Argiris Laskarakis 2 1 Maria Seitanidou 1 Panos Patsalas 1 Stergios Logothetidis 1
1Aristotle University of Thessaloniki Thessaloniki Greece2University of Ioannina Ioannina Greece
Show AbstractOrganic electronic (OE) conductive and semiconductive materials are ideal for a wide range of applications from optoelectronics and photovoltaics to sensing and bioelectronics and their technology is compatible with the fabrication of portable electronic devices on low-cost plastic substrates.
The combination of noble metal nanoparticles (NPs) with the OE materials in the same layers of an organic electronic device and the formation a hybrid metal/polymer nanostructure, the so-called plasmonic blend, can contribute to the optimization of the OE device performance, e.g. enhancement of the power conversion efficiency in the case of an organic solar cells or optimization of the electrical properties of the PEDOT:PSS. So it is crucial to understand the diffusion of the metal NPs in the plasmonic blend and to control the plasmonic blend formation process.
In this work, we use in-situ and real time Spectroscopic Ellipsometry (SE) in the nearIR-Vis-UV spectral range together with the appropriate modeling targeting not only to improve the OE properties but also to study: i) the effect of the thermal annealing the structure of the plasmonic blend e.g. the distribution of the Ag NPs in the PEDOT:PSS and in the P3HT:PCBM, ii) the effect of the Ag NPs size on the thermal annealing process and iii) the interactions between the P3HT and PCBM based on the monitoring of the Local Surface Plasmon Resonance to shed some light to the mechanisms that govern the phase separation of these materials. In the case of the Ag NPs incorporated in the PEDOT:PSS, the LSPR presence at the ~ 430 nm of the spectral range was confirmed by variable angle SE, while larger Ag NPs was found to shift the LSPR of the plasmonic blend. Complementary to the SE, Atomic Force Microscopy and X-rays Photoelectron Spectroscopy was used to study the surface of the plasmonic blends after the annealing process
9:00 AM - II11.36
Temperature Dependence of Photoluminescence of Au10 Nanoclusters
Mitsuru Inada 1 Daiki Inamoto 1 Masato Tsukamoto 1 Tadashii Saitoh 1
1Kansai University Osaka Japan
Show AbstractMetal nanocluster (NC) consisting of only several atoms is very small particle (less than 1 nm) and its physical properties are affected by the quantum size effect as well as surface conditions effect. As a result, they have some attractive behaviors such as size dependent fluorescence, superparamagnetic properties and nonlinear carrier transport. Among various metal NCs, gold (Au) NC has much attention because their synthesis is comparatively easy by chemical reduction method. Recently, highly fluorescent Au NCs are reported, which are important to applicant for biosensors, imaging labels and optoelectronic devices. However origin and mechanisms of the fluorescence are still not enough understood. In this study we investigate the temperature dependence of photoluminescence (PL) of Au10 NCs to clarify the mechanisms of luminescence.
The Au10 NC consists of 10 gold atoms and is stabilized by ligands of L-histidine. This Au10 NCs were drop-casted on non-doped silicon wafer. The PL spectra of the Au10 NCs were measured in wide temperature range from 300 K to 13 K. In the PL measurements, a 266 nm of pulsed Nd-YAG laser was used as an excitation laser source.
At 300 K, the Au10 NCs have bright luminescence at around 490 nm (2.53 eV). This emission energy is in good agreement with a value for Au10 calculated by spherical jellium model. With decreasing the temperature from 300 K to 70K, the intensity of the PL increased and the blue shift of the peak position was observed. This shows that nonradiative recombination probability decreased in a low-temperature region. The activation energy for nonradiative process was 64.3 meV. This value is larger than those of being captured by the surface/defect states. This suggests that surface/defect states of the Au10 NC is suppressed with histidine, and the nonradiative relaxation is likely due to thermal escape from the clusters. The value of the blue shift of PL peak position during decreasing the temperature was 160 meV. The shift of peak position usually explained based on thermal lattice expansion or contraction effect. However, since the value of 160 meV is relatively larger than those for semiconductor quantum dots, a detailed investigation might be required. At temperatures below 70 K, the PL intensity did not depend on the temperature. This suggests that the nonradiative recombination process is not thermally activated in this temperature region.
The bandwidth of the PL was also investigated. In our experiments, the full width at half-maximum decreased with decreasing temperature, 40 meV from 300 K to 70 K. This value is larger than that of the reported value for Au10 NCs. This suggests that electron-phonon interaction plays an important role in our cluster. Details will be discussed in the meeting.
9:00 AM - II11.37
Plasma Enhanced Supersonic Inseminated Jet Deposition (PESIJD) for Nanostructured Crystalline and Amorphous Silicon Thin Films Fabrication
Giorgio Nava 1 2 Francesco Fumagalli 1 Fabio Di Fonzo 1
1Center for Nanoscience and Technology Milano Italy2Politecnico di Milano Milano Italy
Show AbstractIn the last decade quantum confinement related size tunable optical, electrical and mechanical properties exhibited by semiconductor aggregates with dimensions approaching the Bohr exciton radius have attracted a great deal of interest in the scientific community. In particular, new production methods for silicon quantum dots, leading semiconductor in electronic and solar cell industry, nontoxic in its bulk form and largely available on earth&’s crust, have been studied extensively. The main challenge that has to be faced in this field is represented by the high crystallization temperature of silicon nanoaggregates, making the well-established solution based methods not suitable. In this scenario non-thermal plasma methods have emerged as the most promising choice given the advantages of allowing both low temperature and mono-disperse synthesis. Silicon nanoclusters are produced in a low pressure non-thermal plasma environment, where the nucleating nanoparticles undergo intense selective heating and crystallization via energetic surface reactions.
In the present work a novel plasma based large area (100 cm2) and high throughput (100 nm/s) nanostructured silicon thin film deposition technique is presented. The exploited two stages design allows separate control over both film properties (crystals dimensions and crystalline fraction) and morphology.
In the first stage, comprising of a PECVD system, the dissociation of a gaseous precursor of silicon (SiH4), takes place in low pressure radiofrequency plasma of Ar and H2, creating the nano-sized clusters representing the building blocks of the growing film. The power level coupled into the plasma allows tuning of the crystals dimensions, ranging from 3 to 5 nm. For a given power value, the desired material crystalline fraction is achieved by optimizing the ratio between Ar and H2 flows. Atomic hydrogen (produced in the plasma reactions) relaxes the strained Si-Si bonds leading to an increasing crystallinity in the growing material, although an excessively high density of the above said leads to detrimental etching effects. Completed crystallization is achieved by low temperature annealing (500 °C), exploiting the presence of nano-sized crystallites in the produced films which act as crystallization seeds.
In the second stage, separated from the first one by a slit of high aspect ratio, a supersonic inseminated jet is established. Nanoclusters produced in the reaction chamber are dragged by the expanding gas and impact on a substrate. Morphologies ranging from porous/aerogel-like to compact/columnar are produced by tuning the jet properties. In between these two extremes a small window of processing conditions can be identified for the synthesis quasi 1D hierarchical nanostructures growth by self-assembly from the gas phase. These structures, characterized by strong light scattering and high specific surface area, are tested as efficient light harvesting absorbing media in hybrid solar devices.
9:00 AM - II11.38
Environment Effects on Transition-Metal Nanoclusters with 55 Atoms
Diego Guedes Sobrinho 1 Crina Georgeta Ungureanu 1 Anderson S. Chaves 2 Ricardo K. Nomiyama 3 Polina Tereshchuk 1 Mauricio J. Piotrowski 4 Juarez L. F. Da Silva 1 2
1University of Samp;#227;o Paulo Samp;#227;o Carlos Brazil2University of Samp;#227;o Paulo Samp;#227;o Carlos Brazil3University of Samp;#227;o Paulo Samp;#227;o Carlos Brazil4University Federal of Pelotas Pelotas Brazil
Show AbstractTransition-metal (TM) nanoclusters supported on oxides or immerse in solutions have attracted great interest for catalytic applications, and an atomistic understanding of the physical and chemical properties as a function of size, composition, and ligands is a challenge. In this work, we combined empirical pair-potentials (EPP) and first-principles density functional theory (DFT) calculations to study the atomic structure of TM nanoalloys with 55 atoms (3d, 4d, 5d, for 42 elements), the formation of PtX nanoalloys (X = Fe, Co, Ni, Cu, Zn), and ligand effects in the atomic structure of the Pt55 and Au55 nanoclusters. To investigate the atomic structure of TM55 nanoclusters, we employed well known model structures and the atomic configurations obtained from the Revised Basin Hopping Monte Carlo (RBMHC) algorithm within Sutton-Chen EPP, and final geometric optimization using DFT as implemented in VASP [1]. We found that for particular TM55 systems that adopt the icosahedron (ICO) ground state structure (e.g., Co55, Ni55, Cu55) DFT and RBHMC within EPP yield the same results, however, it is not the case for structure of most of the 3d, 4d, and 5d TM55 systems, e.g., Pt55 and Au55. For those systems (Pt and Au), DFT yields a reduced core structure (RCORE) [2], which contains about 7-10 atoms in the core instead of 13 as in the ICO model observed in experiments. To investigate this discrepancy we considered environment effects in the DFT calculations by surrounding the nanoclusters with PH3 and SH2 ligands. The addition of up to 18 ligands decreases the relative energy between RCORE and ICO configurations to about -0.25 (Pt55) and 0.07 eV (Au55) for PH3, and -0.10 (Pt55) and 0.17 eV (Au55) for SH2. Also an average increase of about 0.70 % in the bond lenghts was observed, which is due to the ligand effects pulling slightly the TM atoms away, however, it does not affect the coordination number. For the binary (PtX)55 nanoclusters, only DFT calculations were performed. We found that replacement of the Pt core region by atomic species with smaller atomic radius (Fe, Co, Ni, and Cu) stabilize the core-shell icosahedron structure, however, the same does not hold for PtZn [3]. As expected from the atomic radius picture, we found a strong tendency of the Pt atoms to be located in the nanocluster surface, which helps to release strain energy.
[1] M. J. Piotrowski, P. Piquini, J. L. F. Da Silva, J. Phys. Chem. C, 116, 18432, 2012.
[2] J. L. F. Da Silva, H. G. Kim, M. J. Piotrowski, M. J. Prieto, G. Tremiliosi-Filho, Phys. Rev. B, 82, 205424, 2010.
[3] D. G. Sobrinho, R. K. Nomiyama, A. S. Chaves, M. J. Piotrowski, J. L. F. Da Silva, in the submission process.
We thank FAPESP, CNPq, and CAPES.
9:00 AM - II11.40
Synthesis of Cu-In Alloy Nanoparticles by Using Chemical Reduction Method in Aqueous Solution
Hironari Fujiki 1 Shun Yokoyama 1 Hideyuki Takahashi 1 Kazuyuki Tohji 1
1Tohoku University Sendai Japan
Show Abstract#12288;#12288;Among the various solar cells, it is well known that CIS (CuInSe2) type solar cell shows attractive performance, nevertheless it can be produced lower cost than Si solar cell. In usual case, these CIS type solar cell is synthesized by gas phase method. However, since vaporizing temperature of four elements is extremely different, productivity under the gas phase is relatively low, which read the large amount of waste of resources. Thus, to decreasing the cost of CIS type solar cell, synthesis method with high recovery rate should be developed. #12288;#12288;On the other hand, liquid phase synthesizing methods can produced the materials with high recovery rate. Among the various liquid phase methods, we reported that well crystallized and uniform alloy nanoparticles, such as Pd-Te[1], can be synthesized by restrict controlling the homogenization of metallic complexes and control of reduction rate, under the room temperature and in the aqueous solution. However, ternary alloy nano materials with uniform structure cannot be synthesized until now, because of difficulty of controlling these conditions. #12288;#12288;Therefore, in this study, the relationship between the state of metallic complexes in the aqueous phase and its reduction potentials was evaluated. #12288;#12288;Amine-based and/or carboxyl-based complexing reagent were used for the complex reagents. Condition of metallic complexes in the aqueous solution can be restricted to homogenized species by utilizing the theoretical calculation method using the critical stability constants. Reduction potential of Cu (and/or In) complexes was measured, and synthesized materials were analyzed by XRD. #12288;#12288;Results of calculation for the every system indicated that Cu- and In- complex was successfully restricted to single species at corresponding pH. Thus, metal complex can be restricted to single species in the original solution by obeying to this calculation method. Reduction potential was decided by using the results of cyclic voltammetry and XRD results of electrodeposited materials at corresponding potential. These results clearly indicated that reduction potential and stability constants (Log K) showed linearly correlation. Moreover, it becomes apparent that reduction potential of Cu- aspartic acid complexes is near that of In- aspartic acid complexes. Therefore, nanoparticles were tried to synthesize from these solution. As a results, XRD results shows that CuIn and Cu2In alloy nanoparticles can be successfully synthesized. This result indicates that Cu-In alloy particles can be synthesized in the aqueous phase by restricting the form and species of Cu and In in solution. Another results will be released in our session. #12288;#12288;This work was supported by the Grant-in-Aid for Challenging Exploratory Research (No. 25550085). [1] H. Takahashi et.,al, Applied Catalysis A: General 392 (2011) 80-85.
9:00 AM - II11.41
Cubic CeO2-Au@Hexaniobate Nanopeapods
Taha Rostamzadeh 2 1 Shiva Adireddy 3 John B Wiley 2 1
1UNO New Orleans USA2UNO New Orleans USA3Tulane University New Orleans USA
Show AbstractThe controlled capture of nanoparticle combinations within potassium hexaniobate nanoscrolls is a significant step for the directed tuning of photocatalytic activity in these oxides. Herein, we report a low-temperature synthetic approach for the fabrication of bi-functional nanopeapods (NPPs) composed of different arrangements of ceria and gold nanoparticles within the hexaniobate nanoscrolls. CeO2 colloidal nanocubes (5-40nm) were first synthesized by a solvothermal approach in the presence of oleic acid and oleylamine capping agents and then encapsulated in hexaniobate nanoscrolls via a second solvothermal treatment. Transmission electron microscopy (TEM) and scanning electron microscopy (SEM) have been used to examine the structure and formation mechanism of these nanopeapods. Size selective encapsulation with preorganization of the similar sized ceria nanoparticles was observed prior to the scrolling process. Bi-functional CeO2-Au@hexaniobate NPPs were also synthesized using partially filled CeO2@hexaniobate NPPs. Photoluminescence (PL) and UV-visible (UV-Vis) spectroscopies were used to investigate optical properties of the ceria-gold@hexaniobate nanopeapods. Photocatalytic activities of ceria nanopeapods and bi-functional nanocomposites were also tested for the degradation of methylene blue under both UV and visible light irradiation.
9:00 AM - II11.42
Nanoscale Magnetism Control by Surface and Exchange Anisotropy
Yongjun Lim 1 Seung-hyun Noh 1 Seung Ho Moon 1 Jinwoo Cheon 1
1Yonsei University Seoul Korea (the Republic of)
Show AbstractThe property of magnetic materials can be defined using some parameters such as saturation magnetization (Ms), remanent magnetization (Mr), and coercivity (Hc). The ability to control these parameters is critical for optimizing magnetic characteristics we need for their effective use. With the aim of controlling nanoscale magnetism, we demonstrate an approach encompassing concepts of surface and exchange anisotropy while reflecting size, shape, and structural hybridization of nanoparticles. We visualize that nanocube has higher magnetization value than nanosphere with highest coercivity. Its hybridization into core-shell structure brings a large increase in the coercivity with an exceptional energy conversion of magnetic field into thermal energy.
9:00 AM - II11.43
Synthesis and Processing of Core/Alloy Nanoparticles with Stainless Interfaces
R. Davon Slaton 1 Patrick Lutz 1 Laxmikant Pathade 1 Mathew M. Maye 1
1Syracuse University Syracuse USA
Show AbstractIn this presentation we describe our work related to the synthesis and processing of core/alloy nanoparticles with stainless interfaces. In particular, we focus on the synthesis of Fe/FeCr and Fe/FeNi nanoparticles in the 15-25 nm range. These nanoparticles have rich oxidation behavior that is attributed to the stainless like alloy interface, it&’s thickness, and composition. The Fe/FeCr particles show a unique Kirkendall morphological transformation that is induced by modest oxidation, which results in a novel and stable core-void-shell morphology. The Fe/FeNi nanoparticle on the other hand is highly asymmetric, magnetic, and resists bulk oxidation. The oxidation of these nanoparticles was observed via XRD and XPS, while the alloying was observed by selective area EDX, and final morphology was characterized by HRTEM. The mechanism for growth, as well as the potential use of these materials in sensing, gas absorption, and drug delivery will be discussed.
9:00 AM - II11.44
Controlling Composition, Symmetry, and Internal Microstructure of Core/Alloy Nanoparticles
Patrick Lutz 1 R. Davon Slaton 1 Laxmikant Pathade 1 Mathew M. Maye 1
1Syracuse University Syracuse USA
Show AbstractThis presentation focuses on our recent nanoparticle synthesis strategy that employs atomic interdiffusion at a core/shell interface to produce alloy-terminated nanocrystals. These core/alloy nanoparticles have alloy compositions that are determined by shell thickness, processing temperature, initial core diameter, and surface capping ligand. As a proof of principle, we have fabricated an assortment of noble metal alloy heterostructures. The alloying is preceded by the layer-by-layer deposition of the shell material (i.e., Ag, Pd, Pt), followed by a microwave mediated hydrothermal annealing step, which induces core/shell inter diffusion and alloying. We show the extension of this approach by using alloy phase behavior to drive asymmetric growth of heterostructures with janus-like interfaces. Using these structures, a second novel galvanic replacement reaction step can be used to alter plasmonic properties, structure, and catalytic activity.
9:00 AM - II11.45
Electrophoretic Deposition (EPD) Nanoparticles Depositing onto Non-Conductive Substrate
Tesfaye Gebre 2 3 Pham Viet Hung 3 Moguse D Mochena 2 James H Dickerson 1 4
1Brookhaven National Laboratory Upton USA2Florida A amp; M University Tallahassee USA3BNL Upton USA4Brown University Providence USA
Show AbstractThe ability to fabricate materials and structures with sub-micrometer and nanoscale features reliably is of interest and economically in many areas of science and developing technologies. The electrophoretic deposition (EPD), offers the advantage of being versatile, cost-effect and more important. EPD, process is that colloidal particles suspended in a liquid medium migrate under the influence of an electric field (electrophoresis) and are deposited onto an electrode. All colloidal particles that can be used to form stable suspensions and that can carry a charge can be used in electrophoretic deposition. The process is useful for applying materials to any electrically conductive surface. The materials which are being deposited are the major determining factor in the actual processing conditions and equipment which may be used. EPD allows deposition of high rates of particles in a controlled structural manner, simple apparatus, little restriction of the shape of substrate dense coating and short formation time. In this presentation we describe method to produce large-scale nanoparticles thin films, depositing onto non-conducting glass substrate using electrophoretic deposition (EPD) by applying external electric field, this method is applicable to the fabrication of monolayer, bilayer, and multilayer nanoparticles thin films for various applications. As example, monolayer and multilayer of cadmium selenide/zinc sulfide (CdSe/ZnS) quantum dot nanoparticles have been depositing onto nonconducting microscopy slide glass substrate by EPD from isooctane suspension.
9:00 AM - II11.46
Biosynthesis of CdS Quantum Dots with Extrinsic Control over Particle Size
Zhou Yang 1 Li Lu 2 Christopher Kiely 2 Bryan Berger 1 Steven McIntosh 1
1Lehigh University Bethlehem USA2Lehigh University Bethlehem USA
Show AbstractDue to their size-dependent photoluminescent properties, semiconductor quantum dots (QDs) have potential application in a number of devices, including display technologies, quantum-dot solar cells and in-vivo or in -vitro biomedical imaging/detection. QDs are typically manufactured using multi-step, batch processes that require multiple organic solvents and expensive precursors, resulting in high material, operating and environmental remediation costs; collectively, these high costs inhibit their widespread commercial adoption. To address this challenge, we describe a continuous, cell-based process using an engineered strain of Stenotrophomonas maltophilia (SMCD1) that produces extracellular, water-soluble cadmium sulfide (CdS) QDs in high yield from low-cost precursors by direct fermentation. In contrast to previously reported biosynthesis approaches, our engineered strain of SMCD1 enables controlled growth of CdS QDs over a period of 6 hours in culture, allowing extrinsic control of QD size and resulting photoluminescent properties. Our results collectively demonstrate for the first time the feasibility of a scalable, biological process to produce low-cost CdS QDs.
9:00 AM - II11.47
Investigating the Linear and Nonlinear Optical Properties of Water-Soluble CdTe QDs Synthesized by the One-Pot Method
Marcelo Goncalves Vivas 2 1 Jose Carlos L. Sousa 3 Marco Antonio Schiavon 3 Cleber R. Mendonca 1
1University of Samp;#227;o Paulo Samp;#227;o Carlos Brazil2Federal University of Alfenas Pocos de Caldas Brazil3Federal University of Sao Joao del-Rei Sao Joao del-Rei Brazil
Show AbstractColloidal semiconductor nanocrystals or quantum dots (QDs) are nanomaterials that exhibit a strong quantum confinement effect, which causes the appearance of size-dependent optical properties. Among these properties, we can cite high molar absorptivity, high fluorescence quantum yield, exceptional multiphoton absorption, and strong electron-phonon coupling. Because of these remarkable features, QDs are of great technological interest since they have been used in several applications, such as solar and photovoltaic cells , luminescent biolabels, inkjet printing light-emitting devices, displays, and RGB devices. The production of high quality QDs with controllable physical and chemical properties is not trivial, and much effort has been devoted to develop useful synthetic approaches for producing high quality QDs. Among the synthetic routes for production of QDs, the best example described for the liquid-phase approach synthesis method (one-pot) of water-soluble CdTe QDs was the ones capped with L-glutathione (GSH), which showed strong staining and well-defined spectroscopy properties. This method has been named the one-pot approach, and although it still requires further investigation for improvements, it seems to be a simpler method able to produce CdTe and others QDs as well.
To explore the full potential of these materials and to screen them to the most appropriate application, it is important to obtain a quantitative understanding of their linear and nonlinear optical (as two-photon absorption) properties. For example, the knowledge of the linear and nonlinear absorption cross-section magnitude as well as its spectral behavior (as opposed to isolated wavelengths) is paramount for selecting the application and determining the irradiance threshold necessary to generate a specific optical effect. In this context, we investigate the linear and nonlinear optical properties of water-soluble CdTe QDs synthesized by the one-pot method as a function of its size. For that, we used the open-aperture femtosecond Z-scan technique with low repetition rate (1 KHz) and low pulse energy (nJ). Our results showed that the one-pot approach produces GSH-capped CdTe QDs of similar high quality and more narrow size dispersion as those produced using an organic route. In the same time, we obtained 2PA cross-section values between 103-104 Goeppert-Mayer units along the spectral region from 1 to 2.1 eV (1200-600 nm) depending on the diameter of the QDs. Such values are in good agreement with results published for CdTe QDs produced by organic route indicating the high quality of QDs produced and corroborating the use of this synthesis method for development of photonics devices.
9:00 AM - II11.48
Facile Synthesis of Semiconductor-Metal Hybrid Nanoparticles with an Anisotropic Structure
Akira Ohnuma 1 Kouta Iwasaki 1
1Toyota Boshoku Corporation Kariya Japan
Show AbstractAnisotropic nanoparticles have been fascinating objects in this decade because they can have potential applications such as for the fabrication of optical, electronic, and sensing devices through the “bottom up” approach. Many efforts have been devoted to the synthesis of anisotropic nanoparticles, and the approaches include surface selective modification, surface-controlled nucleation and growth, and phase separation, etc. In spite of the success, most of these methods cannot be easily applied to large-scale production due to the multiple steps involved in a typical fabrication process. Therefore, a facile and versatile procedure is still desired for the preparation of anisotropic nanoparticles.
Hybrid nanoparticles consisting of semiconductor and metal nanoparticles also attached considerable attention as the intimate combination of those components offers the promise of original properties that are not possible from one material alone. As examples, bismuth telluride (Bi2Te3) is a representative thermoelectric semiconductor with a relatively high electrical conductivity and low thermal conductivity, and gold (Au), a common plasmonic metal, allows covalent attachment of thiolate ligands to the particle surface, generating a variety of unique surface functional groups for an array of purposes.
Here we report a facile and versatile procedure for the preparation of semiconductor/metal hybrid nanoparticles with an anisotropic structure consisting of Bi2Te3 and Au (or silver (Ag)) nanoparticles. We used a flake-shaped Bi2Te3 nanoparticle (Bi2Te3 nanoflake) as a substrate, and could preferentially modify the edge part of the Bi2Te3 nanoflake with Au or Ag nanoparticles. In a typical synthesis, to an aqueous Au or Ag precursor solution heated to boiling was added an aqueous solution containing both trisodium citrate and Bi2Te3 nanoflakes, and the solution was then boiled for further 30 min. Because of the simplicity of the procedure, it is possible to use it for the large-scale production. The applications of the as-prepared anisotropic hybrid nanoparticles will be discussed in our presentation at the meeting.
9:00 AM - II11.49
Facile and Green Synthesis of Cu Micro/Nano Structure with Lowered Resistivity
Shun Yokoyama 1 kenichi Motomiya 1 Kai Takayuki 2 Hideyuki Takahashi 1 Kazuyuki Tohji 1
1Tohoku University Sendai Japan2Panasonic Corp. Kadoma Japan
Show AbstractCu nanoparticles (NPs) have been studied extensively to develop nano ink for printable electronics on flexible substrates because of its low cost, high conductivity and low sintering temperature. Although there are many synthesis methods with low resistivity which normally require high temperature, high pressure, toxic regent and special equipment, it was difficult to achieve the practical use of Cu nano ink. Therefore, a facile and green synthesis method of Cu NPs with low resistivity is developed in this study. We previously reported that controlling of Cu complexes and pH value are crucial for Cu NPs syntheses in aqueous chemical reduction as an easy and mild reaction. In this study, Cu particles syntheses were examined by using water, citric acid, ascorbic acid (AA) as solvent, complex agent and reducing agent, respectively, at different pH for developing a green synthesis method. Besides, the electrical resistivity of the Cu films prepared from synthesized Cu particles was measured. Calculations based on critical stability constant and ESI-TOFMS analyses of metal complexes show that Cu-citric acid complexes are stably formed between pH 5 and 12. We have examined whether AA as mild reducing agent can reduce Cu-citric acid complexes to Cu particles in place of toxic reducing agents. As a result, Cu NPs are synthesized near room temperature under atmospheric condition based on chemical reduction without toxic reagents. It is interesting to note that the size of Cu particles can be controlled effectively in the range of nano to micron by varying pH value. In particular, Cu complexes are directly reduced to Cu micro-sized particles (MPs) around pH 7 by AA. On the other hand, copper oxides are formed by reacting Cu complexes with AA, and then reduced to Cu NPs around pH 11. The difference in synthesis mechanism between pH 7 and 11 results in drastic changes in Cu particle sizes. In the characterization of particles, FT-IR measurements show citric acid and/or AA absorbed on synthesized Cu NPs. The surface adsorbates provide Cu NPs to oxidation resistance and consequently Cu NPs start to sinter around 175 0C. Besides, the electrical resistivity of films prepared by mixing of the Cu NPs and MPs is lower than that of the Cu NPs film. In our presentation, detailed results and the resistivity decrease mechanism will be introduced. This work was supported by JSPS KAKENHI Grant-in-Aid for Young Scientists (Start-up) 25886001.
9:00 AM - II11.50
Nickel Oxide Nanoparticle Size Tunability through Mesoporous Silicon Template Hybridization
Joshua Stephen Fain 1 Jeremy West Mares 1 Sharon M Weiss 1 2
1Vanderbilt University Nashville USA2Vanderbilt University Nashville USA
Show AbstractNickel (II) oxide nanostructures exhibit numerous useful electronic, optical and electrochemical properties that make this material the subject of extensive investigations in pseudocapacitive energy storage devices, gas sensors, variable conductivity, electronic elements and transparent conducting contacts. As these technologies are developed and scaled, it is critical that simple, reliable, and cost-effective batch production processes be developed. With this work we present an efficient and highly economical template-based method for batch fabrication of size-controlled NiO nanoparticles. By hybridizing a NiO sol-gel precursor with electrochemically etched mesoporous silicon (PSi) templates, the resulting NiO nanocrystallites that form inside the pores can be tuned in diameter from 9 to 28nm by pre-selecting the average pore sizes of the PSi thin film template. The hybridized NiO-PSi films and template-generated NiO nanoparticles are characterized through x-ray diffraction, scanning and transmission electron microscopy, and energy dispersive spectroscopy. Changes in the optical properties of NiO nanoparticles due to quantum confinement effects are characterized by absorbance measurements.
The PSi templates were fabricated by an electrochemical etching process in which (100)-oriented n+-type Si is submerged in a 12.5% v/v HF:H2O electrolyte solution with Si serving as the anode. Electrochemical etching results in densely packed, nanometer-scale pores, with selectable pore diameters determined by the current applied during the process. The NiO sol-gel precursor was prepared by dissolving nickel acetate tetrahydrate into 2-methoxyethanol (50mg/mL) and was allowed to infiltrate into the PSi during a 24 hour immersion process. NiO particles were formed during subsequent annealing of the NiO-hybridized PSi. Particles were liberated from PSi by dissolving the host templates in 30% w/w KOH. The liberated particles were subsequently rinsed by multiple iterations of particle centrifugation, removing the supernatant, and replacement with Hshy;2O. Analysis by XRD confirmed the crystal structure and was used to estimate particle size within the template. Size and structure analysis was further performed on selected samples of the removed particles by TEM and elemental mapping.
PSi templates with mean pore diameters ranging from 30 to 120nm, annealed at the fixed temperature of 700°C, yielded NiO nanocrystallites ranging in size from 9 to 28nm, respectively. Optical absorbance measurements on the liberated, H2O-suspended, particles revealed the optical bandgap scaled inversely with particle size, as expected, from the effects of quantum confinement: from 3.9eV, for 9nm particles, to 3.65eV for the 28nm particles. NiO-hybridized PSi templates annealed at temperatures ranging from 300 to 1100°C, with fixed average pore diameters of 140nm, yielded NiO crystallites with sizes ranging from approximately 13 to 128nm with increasing temperature.
9:00 AM - II11.51
General Synthetic Method for Preparation of Metal/Semiconductor Double Shell Hollow Nanostructures
Woong Choi 1 Hyunjoon Song 1
1KAIST Daejeon Korea (the Republic of)
Show AbstractHybrid nanostructures comprising noble metals and semiconductors have become significant for various applications such as photocatalysts. In this study, we demonstrate a general synthetic protocol of double shell hollow nanostructures, where the hollow cubes contain double shells with metal and semiconductor layers separately. The double hollow shells were synthesized through three steps from Ag nanocubes. For the first step, hollow structures were synthesized via a partial galvanic replacement reaction by noble metals, including Pt, Au, and Pd. Outer shells were formed by chalcogenization of Ag components to Ag2X (X = S, Se) via the kirkendall effect. Cationic exchange of Ag cations with Cd2+ generated hybrid structures of metals and CdX. TEM images with elemental mapping show a clear separation between metal inner shells and semiconductor outer shells in the hollow cubes. The thickness of each shell can be controlled by tuning a degree of the Galvanic replacement reaction.
9:00 AM - II11.52
Universal Sulfide-Assisted Synthesis of M-Ag Heterodimers (M=Pd, Au, Pt) as Efficient Platforms for Metal-Semiconductor Heteronanostructures
Su-Un Lee 1 Sang Woo Han 1 2
1Korea Advanced Institute of Science and Technology (KAIST) Daejeon Korea (the Republic of)2Institute for Basic Science (IBS) Daejeon Korea (the Republic of)
Show AbstractWe report a universal sulfide-assisted synthesis strategy to prepare dumbbell-like M-Ag heterodimers (M = Pd, Au, Pt). Sulfide ions can give a fine control over the reaction kinetics of Ag precursors, resulting in the anisotropic overgrowth of Ag to realize the dumbbell-like heterodimers irrespective of the surface facets or components of the M domain. The M-Ag heterodimers were facially transformed to M-Ag2S heterodimers via a simple sulfidation reaction. This study provides a versatile approach to realizing not only metal-metal heterodimers, but also semiconductor-metal heterodimers, and will pave the way for designing heteronanostructures with unprecedented morphologies and functions.
9:00 AM - II11.53
Study on Photoluminescence of Silicon Quantum Dots Synthesized by Pulsed Laser Ablation and Effect of Aging in Organic Solvent
Yunzi Xin 1 Takumi Kitasako 1 Daisuke Kajiya 2 Ken-ichi Saitow 1 2
1Hiroshima University Higashi-Hiroshima Japan2Hiroshima University Higashi-hiroshima Japan
Show AbstractColloidal silicon (Si) nanoparticles have attracted much attention in the technology of optoelectronic, photovoltaic and biomedical devices, as they exhibit size-tunable electronic and optical properties with good solubility, nature abundance and non-toxic. The stability of Si nanoparticles as solution is crucial for further device fabrication based on solution-based process. Various methods for the synthesis of Si nanoparticles have been conducted, e.g., chemical synthesis, plasma synthesis of silane gas, electrochemical etching, sputtering, pulsed laser ablation (PLA), and so on. In particular, PLA is an excellent method to fabricate nanomaterial, based on the following distinct properties: i) facile process as 1 step and 1 pot synthesis, ii) products dispersed in solution, iii) size with an order of nanometer can be easily obtained in a short time. Here, colloidal Si quantum dots (QDs) with size ranging from 1 to 2 nm were synthesized by PLA in organic solvent. The photoluminescence (PL) of Si QDs were studied as well as the understanding of the electronic structure by absorption spectrum. The difference of PL and an enhancement of quantum yield were obtained from the Si QDs aged in different organic solvents for different duration. According to the analysis of FTIR, PL and PL lifetime, it is considered that the different level of surface oxidation modifies the quantum yield enhancement. We&’ll discuss the role of quantum confinement effect and surface oxidation state in the PL of Si QDs solution without or with aging, by further XPS and UPS measurements.
9:00 AM - II11.54
Insights into the Formation of Au2Sx/CdS Nanorods by Cation Exchange
Subhajit Kundu 1 Paromita Kundu 2 Gustaaf Van Tendeloo 2 N. Ravishankar 1
1Indian Institute of Science Bangalore India2University of Antwerp, Groenenborgerlaan Antwerp Belgium
Show AbstractCation-exchange is a double displacement reaction in which cation in nanocrystal host lattice is replaced by the cation in solution either partially or fully. It is known in literature that it is difficult to replace any cation with Au because of its high electron affinity and so Au salt normally tends to undergo reduction rather than cation exchange. However, in this study we show that under certain conditions cation-exchange is unexpectedly dominant over reduction for the case of Au. Reaction of HAuCl4 with CdS in aqueous medium has been done to study the competition between cation exchange and metal ion reduction. EDS of the cleaned and dried samples show that the S : Cd+Au ratio remains the same (~50:50 as in CdS) for different loadings of Au studied indicated a possible cation exchange. XRD further confirms by indicating the presence of Au2S phase along with CdS with undetectable signature from metallic Au. However XPS shows the presence of slight amount of Au in metallic state as a result of reduction along with Au in +1 and +3 state. Bright field TEM imaging initially shows the presence of fine, faceted particles of Au. But on prolonged exposure of the sample under intense electron beam, we observe more of such particles form. At high loading such beam effects are more pronounced leading to shortening of nanorods in some cases. Monitoring the transformation under high resolution, it is observed that the formed particles are indeed of Au which could be possibly due to the degradation of the metastable Au2Sx formed as a result of cation-exchange. STEM-EDS elemental maping shows the distribution of the various elements (Au, S & Cd) that indicates the formation of Au2S as a dominant product. Chemical mapping after beam transformation has been further carried out to monitor the change in distribution. Aberration-corrected STEM at atomic resolution has been done to identify the Au2S phase and Au phase along with CdS. To understand the experimental observations thermodynamic calculations have been carried out which predicts that cation exchange may indeed be highly favorable under the experimental conditions. Detailed calculations to unravel the effects of reaction condition have been done. In conclusion, this study provides a better understanding of the competition between cation exchange and reduction leading to better predictability for reactions involving different systems under various reaction conditions.
9:00 AM - II11.55
Colloidal Copper Sulfide Nanocrystals: Electrochemical, Electrical and Morphological Properties
Alejandro Baray-Calderon 2 R Galindo 5 Jose Luis Maldonado 5 Omar Martinez-Alvarez 3 Laura Susana Acosta-Torres 1 Javier De la Fuente-Hernandez 1 Jose Santos-Cruz 4 Ma. Concepcion Arenas-Arrocena 1
1Escuela Nacional de Estudios Superiores Unidad Leamp;#243;n, UNAM Leon Mexico2Centro de Famp;#237;sica Aplicada y Tecnologamp;#237;a Avanzada, UNAM Juriquilla Mexico3Universidad Politamp;#233;cnica de Guanajuato Cortazar Mexico4Universidad Autamp;#243;noma de Queramp;#233;taro Queramp;#233;taro Mexico5Centro de Investigaciones en amp;#211;ptica, A.C. Leamp;#243;n Mexico
Show AbstractCopper sulfide is a non-toxic semiconductor with a band gap energy (Eg) highly interesting for solar cells applications. It is usually labeled as CuxS because the Cu/S ratio could be from 1 to 2 and different crystalline structures such as chalcocite, digenite and djurleite can be obtained depending on the conditions of the synthesis. The highlight of this work is the obtention of colloidal copper sulfide nanocrystals by simple one-pot thermolysis in order to study its electrochemical, electrical and morphological properties. Colloidal Cu1.8S nanocrystals of about 30-50nm were obtained at 240°C under argon atmosphere by using an octadecene solution with C2H3CuO2 and C12H25SH as copper and sulfur precursors, respectively. Colloidal nanocrystals were analyzed by cyclic voltammetry in order to study their electrochemical properties and obtain the onset oxidation potential (EOx Onset) and the onset reduction potential (ERed Onset) at 50 mVs-1 under nitrogen atmosphere. Also, Films based on nanocrystals were prepared for the electrical and morphological characterization. According to the values of EOx Onset and ERed Onsetvs. SCE, the HOMO and LUMO levels are 6.13 and 4.21 eV, respectively, therefore HOMO - LUMO is 1.8 eV. It was consistent to the optical Eg value (1.76 eV) obtained experimentally by UV-Vis spectroscopy. The photocurrent-time results shown that the nanocrystals presented photosensitivity, so that they could be used as absorbing material in solar cells. The surface morphology of the Films was analyzed by SEM and AFM, where irregular agglomerates of nanoparticles were observed. The Cu1.8S nanocrystals will be tested in a hybrid heterojunction to evaluate its photovoltaic response as further work.
Acknowledgements: Thanks to CONACyT-México (CB176450) and SENER-CONACyT (P27 CEMIE-Sol and 153094) for the financial support. Thanks to Rogelio Morán Elvira, Gildardo Casarrubias, Ma. Luisa Ramoacute;n and Marina Vega for their technical support.
9:00 AM - II11.57
ZnO Nanocone Arrays: Facile Synthesis and Applications in Plasmonic Sensors and Photodetectors
Youngoh Lee 1 Jiwon Lee 1 Jonghwa Park 1 Minjung Ha 1 Hyunhyub Ko 1
1Ulsan National Institute of Science and Technology Ulsan Korea (the Republic of)
Show AbstractZnO nanostructures have shown great potentials for various applications in electronic, optoelectronic, and piezoelectric devices. Among different types of ZnO nanostructures such as nanowires, nanotubes, nanobelts, nanocones, and nanotetrapods, ZnO nanocones are attractive in photonic and optoelectronic applications because they provide low reflectivity and light trapping property due to the unique tapered structures. In addition, when the ZnO nanocones are coated with metal film, they show interesting plasmonic properties. Herein, we report a simple chemical vapor deposition (CVD) method for the synthesis of ZnO nanocone arrays and demonstrate their potential applications in surface enhanced Raman scattering (SERS) chemical sensors and UV photodetectors. In this study, we show that ZnO nanocone arrays provide extremely low light reflectivity and ultrahigh SERS effects when they are coated with Au nanoparticle-decorated Ag layers. These ZnO nanocone-based SERS sensors can detect zeptomole level of benzenethiol molecules. We further investigate the unique light trapping effects in ZnO nanocone arrays for applications in ultraviolet (UV) photodetectors. We show that ZnO nanocone arrays provide high responsivity to UV light as compared to those geometries of nanowire and nanonail arrays, where light trapping effects are significantly lower than nanocone arrays.
9:00 AM - II11.59
Facile Bulk Synthesis for Polymer-Plasmonic Hybrid Janus Nanoparticles
Stoyan K. Smoukov 1 Tao Ding 1 Jeremy J Baumberg 2
1University of Cambridge Cambridge United Kingdom2University of Cambridge Cambridge United Kingdom
Show AbstractHybrid nanoparticles are at the forefront of colloidal chemistry as building blocks for novel structures and applications, as well as for exploring fundamental ways of breaking symmetry in physical systems. Current methods of synthesis have significant limitations in terms of difficult synthetic control, particle size ranges and polydispersity. We report a facile and scalable synthesis to obtain metal-polymer rubber hybrid nanoparticles with control over size, shape, and metal-polymer ratio. Using Au for the metal, we also obtain particles with tunable plasmonic properties. We discuss the fundamental physical principles behind the simplicity, low polydispersity, and scalability of the technique.
9:00 AM - II11.60
Chiral Templating of Self-Assembling Nanostructures by Circularly Polarized Light
Jihyeon Yeom 1 Bongjun Yeom 2 Henry Chan 4 Sergio Dominguez-Medina 5 Joong Hwan Bahng 6 Gongpu Zhao 7 Wei-Shun Chang 5 Sung Jin Chang 8 Peijun Zhang 7 Stephan Link 5 Petr Kral 4 Nicholas A. Kotov 1 2 3
1University of Michigan Ann Arbor USA2University of Michigan Ann Arbor USA3University of Michigan Ann Arbor USA4University of Illinois at Chicago Chicago USA5Rice University Houston USA6University of Michigan Ann Arbor USA7University of Pittsburgh School of Medicine Pittsburgh USA8Korea Basic Science Institute Daejeon Korea (the Republic of)
Show AbstractMaterials possessing molecular and nanoscale chirality are well known to rotate the polarization direction of linearly and circularly polarized light (CPL) passing through them. Optical effects related to polarization rotation are relatively easy to observe and have multiple applications. The opposite effects, however, manifesting as the influence of circular polarization of incoming photons on molecular and especially nanometer organization of matter are less known and understood. It is essential to understand the chemical and physical processes being altered when in response to CPL. If chiral “templation” of organic or inorganic matter with CPL is possible, it can open new synthetic routs to chiral nanostructures, which currently attracting a lot of interest. Self-assembly of NPs is one of the mechanisms that could potentially be influenced by CPL due to chirality of individual NPs, potential amplification of circular polarization effects in them, and sensitivity of self-assembly processes to small changes in interparticle interactions. Following these rationales, here we show that circularly polarized light can drive the self-assembly of cadmium telluride nanoparticles (CdTe NPs) into nanoribbons controlling helical directions by transcription of chiral information from the light to NPs. Different helical directions of laser induce different light adsorption of CdTe NPs which lead to greater reactivity of a selective chirality. This simple method for chiral nanoribbons can open the door to understanding life&’s homochirality and chiroptical devices.
II9: Synthesis, Fabrication, and New Materials
Session Chairs
Thursday AM, December 04, 2014
Hynes, Level 2, Room 210
9:30 AM - II9.01
Microsecond-Resolved Insights into the Very Early Stages of Formation and Growth of Cds Quantum Dots
Andreas Schiener 1 Andreas Magerl 1
1University of Erlangen-Namp;#252;rnberg Erlangen Germany
Show AbstractDuring the last decades both the scientific and the industrial interest in semiconducting nanoparticles increased tremendously. This attention relates to the change of the physical and chemical properties of a semiconductor, when its size becomes smaller than the exciton diameter of the bulk material. This so called quantum confinement effect allows tailoring particles properties by controlling its size. To make suitable particles, it is important to have a detailed understanding of the mechanism of particle nucleation and growth.
With the present study we pioneer a novel method to measure both the morphology and the crystalline structure during the early stages of particle formation via ultrafast in-situ SAXS and WAXS. Diluted chemicals are brought together in a Y-shaped micromixer and ejected as a continuous free jet after mixing. The nanoparticle formation takes place in the free jet and we are addressing different stages by targeting a monochromatic synchrotron X-ray beam on different positions along the jet. This allows to access reaction times between 10 µs and 10 ms while illuminating these stages for exposure times up to several seconds in order to obtain a good scattering signal. We have investigated the nucleation and early growth of CdS without slowing down the reaction by the addition of stabilizers. These experiments give for the first time a detailed insight into the nucleation and growth process of nanoparticles by precipitation reaction on a time scale which is tree orders of magnitude smaller than in earlier studies. The results of these experiments indicate that the nucleation of CdS prenucleation clusters already takes place on the sub ms time scale.
9:45 AM - II9.02
Effect of Charge Transfer in Magnetic-Plasmonic Au@Metal Oxide Heterodimers on the Kinetics of Nanocrystals Formation
Wolfgang Tremel 1 Isabel Schick 1 Dominik Gehrig 2 Frederic Laquai 2
1Johannes Gutenberg-Universitamp;#228;t Mainz Germany2Max Planck-Institut famp;#252;r Polymerforschung Mainz Germany
Show AbstractIn recent years the synthesis of nanocrystals has been pursued intensively not only because of fundamental scientific interest, but also in view of technological applications. The synthesis of uniform-sized nanocrystals is of key importance, because their properties depend critically on size and shape. Although remarkable advances have been made in the synthesis of uniform nanocrystals, our understanding of their formation mechanism is limited.
We have developed a facile method to fabricate Au@MnO and Au@Fe3O4 heterodimer nanoparticles by a seed-mediated nucleation and growth technique with precise control over morphology and domain sizes, as demonstrated by transmission electron microscopy and dynamic light scattering. High uniform particles were obtained for all heterodimer compositions, which allowed a comparison of the individual heterodimer systems regarding their composition and properties. The intrinsic hetero-epitaxial linkage enabled interfacial interaction of the individual components, which was reflected by modulation of the optical, magnetic, and structural characteristics. Pronounced differences between Au@MnO and Au@Fe3O4 were observed regarding the synthesis and the properties caused by the variation of the electronic structure of the metal oxides.
The metal domain determines the optical properties of the particles. In particular, the intensity of the surface plasmon resonance (SPR) is a function of several parameters including the composition of the metal and the metal oxide domain, as well as the gradual embedding of the metal domain into the electron deficient metal oxide. Moreover, the position of the maximum of the SPR depends on the metal domain itself and on ratio of metal and metal oxide domain sizes, i.e. by tuning composition and size of the heterodimer nanocrystals the optical resonance can be shifted over a wide spectral range.
The communication between the metal and the metal oxide across the interface strongly depends on the electronic structure of the components. Fe3O4 is a semi-metal with a small band gap, whereas MnO is an insulator with a direct band gap. Upon contact of Au and Fe3O4 the Fermi level equilibrates due to an electron transfer between the two components. The electron density on the Au nanoparticles decreases. In contrast, no charge equilibration occurs in direct contact of an MnO and Au. Therefore, no electron transfer occurs for Au@MnO, and the Au domain retains a sufficient electron density for multiple MnO nucleation, whereas only a single Fe3O4 particles is formed on Au seeds.
The optical properties of Au@Fe3O4 are determined by (i) the variation of the local dielectric function (comparable for Au@MnO and Au@Fe3O4) and (ii) the interface decay channel for electron transfer, which is blocked for Au@MnO. This was shown by the fluorescence spectra and the fluorescence decay dynamics of pristine Au nanoparticles / Au@Fe3O4 and Au@MnO heterodimers by time-resolved photoluminescence spectroscopy.
10:00 AM - II9.03
Continuous Synthesis of CuInS2 Quantum Dots in a Microreactor
Tugce Akdas 1 Michael Haderlein 1 Doris Segets 1 Monica Distaso 1 Wolfgang Peukert 1
1Institute of Particle Technology Erlangen Germany
Show AbstractQuantum dots (QDs) have a great potential in several applications due to their unique and tailorable properties. During the last thirty years, manifold strategies were developed to prepare QDs consisting of different materials. More recently, the interest of the scientific community was focused on the replacement of cadmium-based materials with high potential for optoelectronic applications by less toxic QDs. CuInS2 is a non-toxic semiconductor material from the chalcopyrite family that has attracted much attention. It has a direct bandgap of 1.53 eV and a high absorption coefficient (104-105), thus it is an ideal candidate for optoelectronic applications.
Synthesis of CuInS2 QDs can be performed in various different ways, ranging from classical hot injection approaches to one-pot methods and decomposition of a single-source precursor. However, all techniques described in the literature are batch methods while continuous processes offer advantages such as high reproducibility, large production rate, and simple automation.
In the present contribution, we describe a continuous route to produce CuInS2 QDs based on microreaction technology (MRT). The synthesis strategy was based on a one-pot method performed with 1-dodecanethiol as both ligand and sulfur source and 1-octadecene as non-coordinating solvent. Different preparation conditions for the precursor solution were tested. The effect of various reaction conditions on the final synthesis product have been investigated. Crystal structure, optical properties and composition of the obtained nanocrystals were analysed via X-ray diffraction, inductively-coupled plasma optical emission spectroscopy, absorbance and emission spectroscopy. Incorporation of Zn2+ into the crystal lattice was conducted to boost the products&’ properties. The products of the continuous synthesis are compared to standard batch synthesis products with regard to composition and properties. A strong effect of precursor preparation on the product was found proving the importance of such preliminary stages for the performance of the nanocrystals.
10:15 AM - II9.04
Coaxial Lithography
Tuncay Ozel 1 2 Gilles R Bourret 2 3 Chad A Mirkin 1 2 3
1Northwestern University Evanston USA2Northwestern University Evanston USA3Northwestern University Evanston USA
Show AbstractMetals and semiconductors geometrically tailored at the nanoscale show exceptional optical and electrical properties compared to their bulk counterparts. For instance, coaxial nanowires composed of radial heterojunctions can significantly improve the conversion of incident photons into electrical energy, compared to their planar equivalents, due to their larger heterojunction areas allowing efficient charge carrier separation and collection. Likewise, metallic nanostructures can confine and intensify light within nanoscale volumes through localized surface plasmon resonances, a phenomenon that has been used to enhance light emission and absorption of semiconductors. Integration of plasmonic nanostructures into semiconductor absorbers has allowed researchers to explore novel pathways for energy harvesting and has been proposed as a promising approach for improving the conversion of solar light into electrical energy. However, the integration of well-defined plasmonic metal nanostructures into semiconductor architectures at the nanowire level is hindered by current synthetic capabilities. Here, we report a new technique, termed coaxial lithography (COAL), based on combining templated electrochemical synthesis and lithography for preparing coaxial nanowires with sub-10 nanometer control in both linear and radial dimensions. Synthesis of various combinations of coaxial nanowires composed of metals, metal oxides, metal chalcogenides and conjugated polymers were demonstrated. In particular, we demonstrate the ability to synthesize plasmonic metal nanorings around and inside semiconductor nanowires. Furthermore, to demonstrate the potential afforded by COAL, a plasmonic nanoring embedded core/shell semiconductor nanowire, which can only be prepared using COAL, was synthesized, and its optoelectronic properties were studied.
10:30 AM - *II9.05
Synthesis, Chemical Transformations and Assembly in Colloidal Nanocrystals
Liberato Manna 1
1Istituto Italiano di Tecnologia Genova Italy
Show AbstractColloidal inorganic nanocrystals (NCs) are among the most exploited nanomaterials to date due to their extreme versatility. Research on NCs went through much advancement in the last fifteen years, for example in the synthesis, which opened up the possibility to control their size and shape: this includes the ability to combine several materials in the same nano-object, with topological control, which has contributed to expand the functionality of NCs and the range of their applications considerably. An additional step forward was the creation of a wide range of superstructures from the assembly of such NCs, which can be clearly thought of as new types of artificial solids. Progress also came from the study of chemical transformations in nanostructures, most notably via cation exchange, which involves replacement of the sublattice of cations in a crystal with a new sublattice of different cations, while the sublattice of anions remains in place. New exciting directions have been uncovered recently through the development of plasmonic semiconducting nanoparticles and by the possibility to chemically adjust the density of free carriers in them. The present talk will highlight the recent progress by our group in the areas of advanced synthesis, assembly and in the study of chemical transformations in NCs, with focus on semiconducting/plasmonic nanomaterials.
11:30 AM - *II9.06
Beyond Plasmons Thiolated Gold Clusters Exhibit Photosensitizing Property in the Visible
Prashant V. Kamat 1 Kevin Stamplecoskie 1 Yongsiou Chen 1
1University of Notre Dame Notre Dame USA
Show AbstractHow does the photophysical property of metal nanoparticle change when one decreases the number of atoms in the core? As the plasmon resonance activity ceases in smaller size metal nanoparticles (< 3 nm) they continue to exhibit photoactivity in the visible. A bottom up approach is employed to study the size effect of glutathione capped gold nanoclusters (Aux-GSH NCs) on the excited state properties. These gold clusters containing 10-25 metal atoms exhibit molecular like properties with relatively long lived excited state (lifetime sim; 800 ns). When a mesoscopic TiO2 film sensitized by Aux-GSH NCs was used as the photoanode in a photoelectrochemical cell, we can generate photocurrent under visible light irradiation with a photoconversion efficiency of 2%. The reversible reduction (E0= -0.63 V vs. RHE) and oxidation (E0= 1.51 V vs. RHE) potentials make them suitable as visible sensitizers for driving the water splitting reaction. Additionally, sensitizing Pt/TiO2 nanoparticles with Aux-GSH NCs has been explored in an aqueous slurry system and irradiating with visible light resulted in hydrogen production. These metal nanoclusters which serve as a new class of visible photosensitizers exhibit significantly higher photoactivity than the larger nanoparticles and thus offer new opportunities as light harvesting assemblies.
12:00 PM - II9.07
Photophysics of Organo-Lead Halide Perovskite Nanocrystal
Alexander S. Urban 1 Niklas Mutz 1 Florian Ehrat 1 Thomas Simon 1 Ming Fu 1 Jacek K. Stolarczyk 1 Carlos Cardenas-Daw 1 Jochen Feldmann 1
1Ludwig-Maximilians-University Mamp;#252;nchen Mamp;#252;nchen Germany
Show AbstractWith lead halide perovskite-based solar cells having exceeded 16% efficiency after only 5 years of intense studies, improving on this efficiency will require a detailed understanding of the photophysical processes occurring in such systems. This however requires a highly controllable nanoscale structure, where several parameters can be varied to study their effects on the optical properties of the system. While there have been reports on fabrication of perovskite nanocrystals, there has not been much control over their physical properties and nearly no studies on their optical features. In this presentation, we fabricate organo-metal halide perovskite nanocrystals, using varying ratios of methylamine and octylamine. This has a strong effect on the nanocrystal size, morphology and degree of aggregation. Using time-resolved photoluminescence (PL) spectroscopy, temperature-dependent single-particle PL spectroscopy, among other methods, we investigate the photophysics of these optically excited nanocrystals, focusing on quantum-size effects, and carrier lifetimes and how the amine ratio affects not only the structural but also optical properties. The findings presented here offer insight into the photophysics of perovskites, an essential step into further improving the efficiency of not only perovskite-based solar cells but also light-emitting devices.
12:30 PM - II9.09
Surface Dependent Optical Properties of Silicon Carbide Quantum Dots
David Beke 1 2 3 Zsolt Szekrenyes 1 Janos Erostyak 3 Katalin Kamaras 1 Adam Gali 1
1Wigner Research Centre for Physics Budapest Hungary2Budapest University of Technology and Economics Budapest Hungary3University of Pamp;#233;cs Pamp;#233;cs Hungary
Show AbstractVisual analysis of biomolecules is an integral avenue of basic and applied biological research. Quantum dots (QDs) are emerging as alternative tools to the organic fluorescent dyes currently used in bioimaging. Although these QDs have great potential as probes for bioimaging, certain limitations may restrict their applications. Cytotoxicity strongly influencing is one of the major limiting factors for the application of II-VI QDs in efficient in vivo imaging. We propose silicon carbide (SiC) QDs for bioimaging in order to eliminate numerous disadvantages of traditional QDs. Biocompatibility of bulk SiC and SiC QDs have been proven by several research teams [1]. SiC is also promising material for quantum information processing as a source of single photon emitter [2]. We developed a two-step experimental routine based on SHS synthesis and whet chemical etching for producing luminescent SiC QDs with high quantum yield [3]. While the synthetized SiC nanpowder with size of about 100 nm was bright single photon source [3], SiC QDs with size of 3 nm in diameter made from this powder have high quantum yield and make stable colloid sol in polar solvents without the need of any surfactant or capping layer thanks to the surface termination [4]. The optical properties of SiC QDs are highly influenced by the chemical surface groups according to our ab initio calculations [6]. We successfully developed synthesis methods for different surface terminated SiC-QDs. Carboxyl terminated SiC QDs were synthetized by changing the properties of the SiC precursors, thus no further chemicals or physical processes were needed to increase the concentration of carboxyl groups [7]. We found a clear experimental evidence for the role of carboxyl groups in the luminescence of SiC-QDs that confirm our calculations. Temperature dependent infrared spectroscopy showed anhydride formation from neighboring carboxyl groups on SiC QDs which represents a new possibility of selective engineering of new hybrid materials involving SiC-QDs using the reactivity of anhydrides.
[1] D. Beke, Z. Szekrényes, D. Pálfi, G. Roacute;na, I. Balogh, P. A. Maák, G. Katona, Z. Czigány, K. Kamarás, B. Roacute;zsa, L. Buday, B. Vértessy, and A. Gali, J. Mater. Res., 28(02), 205, (2012).
[2] S. Castelletto, B. C. Johnson, V. Ivády, N. Stavrias, T. Umeda, A. Gali, and T. Ohshima, Nat. Mater., 13(2), 151, (2014).
[3] S. Castelletto, Br. C. Johnson, C. Zachreson, D. Beke, I. Balogh, T. Ohshima, I. Aharonovich, and A Gali, submitted
[4] D. Beke, Z. Szekrényes, I. Balogh, Z. Czigány, K. Kamarás, and A. Gali, J. Mater. Res. 28(01), 44, (2013).
[5] D. Beke, Z. Szekre#769;nyes, I. Balogh, M. Veres, E. Fazakas, L. K. Varga, K. Kamara#769;s, Z. Cziga#769;ny, and A. Gali, Appl. Phys. Lett., 99(21), 213108 (2011).
[6] M. Vörös, P. Deák, T. Frauenheim, and A. Gali, J. Chem. Phys., 133(6), 064705, (2010).
[7] Zs. Szekrényes, B. Somogyi, D. Beke Gy. Károlyházy, I. Balogh, K. Kamarás, A. Gali, submitted
12:45 PM - II9.10
Air-Stable n-Doped Colloidal HgS and HgSe Quantum Dots with Mid-Infrared Intraband Photoresponse
Zhiyou Deng 1 Philippe Guyot-Sionnest 1
1University of Chicago Chicago USA
Show AbstractColloidal quantum dots (CQDs) have been studied extensively because of their wide color tunability, solution-processing potential and many potential applications such as fluorescence sensing, photovoltaics, detectors, and generally printable electronics. Most of the research is based on the interband absorption or fluorescence between conduction band and valence band states. However, there is also the possibility of using the intraband transitions, the transitions between states within the conduction or valence bands. To take advantage of the intraband transitions, it is essential to have some carrier doping in the lowest quantum states. However, no stable carrier doping in ambient condition had been observed in CQDs before the air-stable n-doped HgS1 and HgSe QDs. This makes it now possible to investigate the intraband photoresponse. In this talk, I will introduce the synthesis of n-doped HgS and HgSe QDs, and their fascinating optoelectronic properties, focusing on their intraband optical properties of absorption and luminescence and especially the first intraband photoconductivity observed with colloidal quantum dot solids. The intraband photoresponse covers the mid-infrared atmospheric transparency, 3 to 5 microns. Colloidal Quantum Dots Intraband Photodetection is a striking new possibility with solution-processed materials, fully taking advantage of the quantum confinement, as well as a novel direction for research on infrared devices.