Symposium Organizers
Tobias Voss, University of Bremen
Anna Fontcuberta i Morral, EPFL
Qihua Xiong, Nanyang Technological University
John J. Boeckl, Air Force Research Laboratory
Chennupati Jagadish, Australian National University
Symposium Support
Aldrich Materials Science
Anfatec Instruments AG
Optronics GmbH
SS11: Group IV Nanowires: Ge
Session Chairs
Wednesday PM, December 04, 2013
Sheraton, 2nd Floor, Grand Ballroom
2:30 AM - *SS11.01
Strain Relaxation Mechanisms and Carrier Dynamics in Ge-Core/Si-Shell Nanowire Heterostructures
Paul McIntyre 1
1Stanford University Stanford USA
Show AbstractGermanium-silicon core-shell nanowires (NWs) are promising building blocks to enable improved performance of nanophotonic and nanoelectronic devices. However, surface passivation is an important requirement for such devices: Small diameter wires have large surface area-to-volume ratio, and surface defects cause carrier scattering and recombination in NWs. Growth of a misfitting Si or SiGe shell around a Ge NW core can achieve both surface passivation and carrier confinement, but these structures must remain stable with respect to relaxation of misfit strains both during growth and subsequent annealing. This presentation will summarize reported results from several research groups on the growth, structure-property relations and misfit strain relaxation mechanisms in Ge-Si core-shell NW heterostructures. The effects of nanowire surface conditions and how they dictate the relative of importance of the key relaxation mechanisms - misfit stress-driven roughening, dislocation climb, dislocation glide, and Ge-Si interdiffusion - will be emphasized.
Photoluminescence results that probe carrier recombination and their dependence on NW structure and surface coating are also reported. Varying the excitation laser power and temperature during PL measurement yields significant insights into both radiative and nonradiative recombination processes in Ge NWs. Nanowires with untreated (air exposed) surfaces exhibit predominantly direct-gap radiative recombination. This is consistent with the quenching of the much longer time-constant indirect gap PL by nonradiative recombination via surface defects. Both oxidation of the wires in dry oxygen ambient and growth of an epitaxial SiGe shell can remove defects from the Ge NW surface. This provides a near-IR PL spectrum that includes both indirect- and direct-gap features, as observed in spectra measured from bulk Ge single crystals.
3:00 AM - SS11.02
Photon and Phonon Response of Germanium Nanowire Assemblies to Ultrafast Laser Excitation
Yanying Li 1 Raphael Clady 2 Shruti V. Thombare 3 Timothy W. Schmidt 2 Mark L. Brongersma 3 4 Paul C. McIntyre 3 4
1Stanford University Stanford USA2The University of Sydney Sydney Australia3Stanford University Stanford USA4Stanford University Stanford USA
Show AbstractSemiconductor nanowires (NWs) have been intensively investigated in recent years due to their unique properties emerging from their anisotropic geometry, large surface-to-volume ratio, and their ability to confine electronic carriers. The dynamics of electrons, photons and phonons in NW assemblies strongly depend on such geometrical factors. A better understanding of the fundamental photonic and acoustic properties of semiconductor NW assemblies is essential in order to exploit them in the design of nanoscale optoelectronic and thermoelectric devices. However, investigations of ultrafast dynamics in semiconductor NWs are limited, and have mainly been focused on a small group of materials.
We report on ultrafast, optical pump-probe measurements on dense arrays of single-crystal and relatively uniform-diameter Ge NWs on a silicon substrate. It was found that several co-existing physical phenomena govern the spectral and temporal dependence of the detected probe signal. These include intraband transitions that induce absorption and state-filling processes that ultimately limit this absorption. As the wire array is dense, with many wires in a square (illumination) wavelength, it can be viewed as an anisotropic metamaterial layer with effective optical properties that can be extracted from an effective medium model. This model predicts the magnitude and free spectral range of Fabry-Perot oscillations seen in spectral reflectance data. Finally, the excitation of acoustic phonons in the NWs produces temporal oscillations in the reflection traces. Based on linear elasticity theory analysis, the oscillations were attributed to excitation of the fundamental and overtone breathing modes of the Ge NWs. The temporal oscillations in the reflection traces were largely determined by two physical parameters: an acoustic damping time and oscillation period. Both parameters were observed as functions of the NW diameter, in good quantitative agreement with our theoretical analysis.
3:15 AM - SS11.03
Raman Spectroscopy in Group IV Nanowires and Nanowire Axial Heterostructures
Julian Anaya 1 Alfredo Torres 1 Juan Jimenez 1 Andres Rodriguez 2 Tomas Rodriguez 2 Carmen Ballesteros 3
1Universidad de Valladolid Valladolid Spain2ETSI de Telecomunicaciamp;#243;n, Universidad Politecnica de Madrid Madrid Spain3EPS, Universidad Carlos III Leganamp;#233;s (Madrid) Spain
Show AbstractSemiconductor NWs are very promising low dimensional structures due to their unique electronic and optical properties, which make them suitable for a new generation of devices, as nanotransistors and sensors. Among the NWs, those of the group IV are the most intensively studied due to their full compatibility with complementary metal oxide semiconductor (CMOS) technology. The growth of alloyed NWs is receiving increasing attention, because of the continuous tunability of the physical properties by the intrinsic effect of the alloy composition, which opens the possibility of fabricating a wide range of heterostructured NWs, necessary for the design of advanced devices. In particular, SiGe alloys present a huge interest because of the excellent properties of this alloy for high frequency devices as well as the possibilities offered in the modulation of the band gap and the electric and thermal transport properties. The growth of SiGe NWs is more challenging than that of NWs of either Si or Ge because the solubilities of Si and Ge in the metal catalysts are different, which can introduce instabilities that affect the shape and composition of the NWs. The control of the NW composition is fundamental for the fabrication of high quality heterostructured NWs. Raman spectroscopy has been used to analyse the composition of SiGe alloys. Nevertheless, when measuring the composition of SiGe nanowires significant fluctuations in the composition are observed. In this work, we present a study of the Raman spectrum of SiGe nanowires and axial SiGe/Si heterostructures. The inhomogeneity of the Ge composition deduced from the Raman spectrum is explained by the existence of a Ge-rich outer shell and by the distribution of the electric field inside the NW.
3:30 AM - SS11.04
Single Crystalline Si/Ge/Si Core-Multiple Shell Nanowires for Advanced Cylindrical Well Field Effect Transistors
Minh Nguyen 1 2 Dayeh A Shadi 2
1Los Alamos National Laboratory Los Alamos USA2University of California, San Diego La Jolla USA
Show AbstractRadial core/shell nanowires [1] have become an important building block for nano-electronics owing to their bandgap and strain engineering capability to manipulate carriers. Thus far, most of efforts on Si-based radially heterostructured nanowires are reported on the Ge-core/Si(Ge)-shell[2] structure, where the outer Si(Ge) layer serves as a good passivation and carrier repulsing barrier. In contrast, the Si-core/Ge-shell design hasn&’t received as much attention and development due to the likelihood of creating Stranski-Krastanov Ge islands[3-4] and due to the non-favored Ge surface that forms an unstable water soluble GeOx layer. Since most NWFETs operate by surface conduction and their body degrades the off-characteristics, we propose a depleted core structure in a Si-core/Ge-shell/Si-shell architecture that will enable attaining both good on- and off-characteristics.
To realize this structure, smooth and conformal deposition of Ge shells on Au-catalyzed Si NWs is required. We are able to tailor the chemical vapor deposition growth conditions to enable excellent morphological control over Si-core/Ge-shell nanowires. High resolution transmission electron microscopy (TEM) reveals high quality, single crystalline Ge shells with thickness above 40 nm without grain/twin boundary formation and without roughening the shell surface, which is usually observed in the Ge-core/Si-shell case[5-6]. More interestingly, the shell growth rate is shown to be dependent on the Ge core&’s diameter. Side view and cross sectional TEM are combined to draw this dependence trend. In addition, growth conditions for a thin Si outer shell is established in order to protect the unstable Ge surface of the Si-core/Ge-shell NWs, and to create a cylindrical hole well in the sandwiched Ge layer. The operation and performance of a new nanowire field effect transistor based on this Si-core/Ge-shell/Si-shell structure is discussed.
Reference:
[1] L. J. Lauhon, M. S. Gudiksen, D. Wang, and C. M. Lieber,Nature, 420(6911), 57-61 (2002).
[2] J. Xiang, W. Lu, Y. Hu, Y. Wu, H. Yan, and C. M. Lieber, Nature, 441(7092), 489-493 (2006).
[3] L. Pan, K.-K. Lew, J. M. Redwing, and E. C. Dickey, Nano Letters, 5(6), 1081-1085 (2005).
[4] S. Kwon, Z. C. Y. Chen, J.-H. Kim, and J. Xiang, Nano Letters, 12(9), 4757-4762 (2012).
[5] I. A. Goldthorpe, A. F. Marshall, and P. C. McIntyre, Nano Letters, 8(11), 4081-4086 (2008).
[6] S. A. Dayeh, W. Tang, F. Boioli, K. L. Kavanagh, H. Zheng, J. Wang, N. H. Mack, G. Swadener, J. Y. Huang, L. Miglio, K.-N. Tu, and S. T. Picraux, Nano Letters, (2012).
SS12: Group IV Nanowires: Growth
Session Chairs
Wednesday PM, December 04, 2013
Sheraton, 2nd Floor, Grand Ballroom
4:15 AM - *SS12.01
Towards an Understanding of Controlled Crystal Growth on the Nano-Scale
Stephan Hofmann 1
1University of Cambridge Cambridge United Kingdom
Show AbstractThe atomic level mechanisms that govern the growth and device behaviour of nanomaterials in realistic process environments, which can be distinctly different from the respective bulk materials, remain elusive. We have been trying to address this current lack of understanding by using in-situ metrology, ranging from environmental transmission electron microscopy (ETEM) to high-pressure X-ray photoelectron spectroscopy, in-situ X-ray diffraction and in-situ scanning tunneling microscopy. This talk will review our current understanding of catalytic carbon nanotube and Si/Ge nanowire (NW) growth [1-5], in particular highlighting the importance of kinetic aspects and size- and solubility-dependent mechanisms. Using Au-catalyzed growth of Ge NWs as model nanoscale system, we find that in contrast to the classic twin plane re-entrant mechanism, the nanoscale geometry allows steady state growth based on a single twin boundary at the NW centre [6]. For [112] orientated Ge NWs, we thereby find a convex, V-shaped liquid catalyst-nanowire growth interface, composed of two Ge {111} planes that are truncated with a region of rough high mobility interface that contacts the triple-phase boundary. We explore how such defects and interfaces change the kinetics of NW growth and the process of atomic step nucleation, and develop a consistent theory. This data provides insight into the role of twin defects in a wide range of growth processes. As another model system, we study the mechanisms and kinetics of axial Ge-Si nanowire heteroepitaxial growth based on the tailoring of the Au catalyst composition via Ga alloying [6,7]. We thereby find the morphology of the Ge-Si heterojunction, in particular the extent of a local, asymmetric increase in nanowire diameter, to depend on the Ga composition of the catalyst, on the TMGa precursor exposure temperature, and on the presence of dopants. To rationalize the findings, we propose a general nucleation-based model for nanowire heteroepitaxy which we anticipate to be relevant to a wide range of material systems and device-enabling heterostructures.
[1] Hofmann et al, Nature Materials 7, 372 (2008)
[2] Gamalski et al, J. Phys. Chem. C 115, 4413 (2011)
[3] Gamalski et al, Nano Lett. 10, 2972 (2010)
[4] Gamalski et al., Phys. Rev. Lett. 108, 255702 (2012).
[5] Wirth et al. Chem. Mat. 24, 4633 (2012).
[6] Gamalski et al, submitted (2012)
[7] Perea et al, Nano Lett. 11, 3117 (2011)
4:45 AM - SS12.02
Synthesis and Structural Characterization of Hybrid Si/GaAs Nanowires
Sonia Conesa-Boj 1 Sylvain Dunand 2 Eleonora Averchi-Russo 1 Martin Heiss 1 Daniel Ruffer 1 Nicolas Wyrsch 2 Christophe Ballif 2 Anna Fontcuberta i Morral 1
1amp;#201;cole Polytechnique Famp;#233;damp;#233;rale de Lausanne Lausanne Switzerland2amp;#201;cole Polytechnique de Lausanne Neuchatel Switzerland
Show AbstractAchieving the integration of group IV and III-V semiconductors in a same nanodevice is technically very challenging. On the other hand, combining group IV and III-V semiconductors would lead to new possibilities in terms of applications, since such new hybrid materials would provide nanostructures with novel and potentially very useful properties [1, 2]. Recently in Ref. [3] it was demonstrated that by controlling the surface chemistry as well as the catalyst composition, the growth of straight hybrid III-V/Si heterostructures was possible. In this contribution, we present a new approach for obtaining Si-GaAs hybrid heterostructures in nanowires based on a combination of molecular beam epitaxy (MBE) and plasma enhanced chemical vapor deposition (PECVD) techniques. Crystalline Si segments are integrated on GaAs nanowires grown by the Ga-assisted growth method at temperatures as low as 250 oC. We find that one of the most important factors leading to the successful growth of Si segments on GaAs is the silane/hydrogen dilution, and determine if the Si shell is amorphous, polycrystalline or crystalline, and also if the growth takes place in the axial or radial directions. We then report on the detailed structural characterization of the hybrid core-shell GaAs/Si nanowires. First, we determine the displacement and strain fields in the core-shell system, combining high-resolution TEM with Geometrical Phase Analysis (GPA). We then determine that the presence of roughness on the shell surface is related to the appearance of dislocations that allow to relief axial misfit strain, and we compute the critical dimensions of the core-shell system that lead to the onset of dislocations that confirm experimental measurements. We also show that using these hybrid core-shell systems it becomes possible to epitaxially transfer crystalline structure from the GaAs core to the Si shell, and provide different examples of this phenomenon, including the structure transfer of a GaAs core wurtzite/zinc-blende superlattice to the Si shell. This phenomenon is important since they provide a potential solution to the problem of the control of crystal structure and the formation of twinning superlattices in silicon. Our results open the path for the successful functional integration of silicon and III-V materials into one single nanowire.
[1 ] Alamo, J. A., Nature 2011, 479, 317-323
[2 ] Adele, C.T.; Malhotra, M.; Kimball, G.M.; Turner-Evans, D.B.; Atwater, H.A. Appl. Phys. Lett. 2010, 97, 221914 - 221917
[3 ] Hocevar, M.; Immink, G.; Verheijen, M.; Akopian, N.; Zwiller, V.; Kouwenhoven, L.; Bakkers, E. Nature 2012 DOI:10.1038/ncomms2277
5:00 AM - SS12.03
Si Nanowires Seeded with Al: Growth, Characteristics, and Templating
Brent A Wacaser 1 Maha M. Khayyat 2 3 Brian A Bryce 4 1 Mark C Reuter 1 Devendra K Sadana 1 Sandip Tiwari 4 Cheng-Yen Wen 5 6 Richard A Haight 1 Frances M Ross 1
1IBM Research Yorktown Heights USA2King Abdul Aziz City for Science and Technology (KACST) Riyadh Saudi Arabia3Umm al-Qura University Makkah Saudi Arabia4Cornell University Ithaca USA5Purdue University West Lafayette USA6National Taiwan University Taipei Taiwan
Show AbstractThe growth of Si nanowires (NWs) from seed particles other than Au can provide benefits both in terms of fabrication and in terms of the electrical properties of the final structures. We have therefore examined the formation of Si nanowires on Si substrates grown using a thin Al film as the seed material.[1] We describe wire growth, morphology, crystal quality, and electrical properties as a function of growth conditions. The doping levels caused by incorporation of Al were studied using single-nanowire ultraviolet photoelectron spectroscopy measurements. We also investigated carrier lifetimes of Si micro/nanowires, grown with comparable diameters using both Au and Al seeds.[2]The Al-seeded NWs are found to have slightly longer lifetimes than those for NWs grown with Au. The reactivity of seed materials, like Al, especially to oxygen, has historically precluded them from being used in templated nanowire growth. This is no longer the case. We describe a new approach for spatial placement of Si nanowires.[3, 4] This process, nanoscale chemical templating (NCT), takes advantage of the reactivity of the Al layer. First an oxide film is formed on Si and is patterned with openings where bare Si is exposed. The Al deposited over the oxide reacts with the oxide making it inactive as a seed. Nanowires only grow in the openings on the bare Si surface. Nanowires therefore only grow in these locations with no extraneous wires in between. We will discuss some advantages NCT has over conventional patterning approaches and show results of the application of NCT with standard and microsphere lithography. The parameters relevant to achieving high growth yield and fidelity, with no NWs between openings and a majority of openings occupied by a single vertical nanowire will also be discussed.
1. Wacaser, B. A.; Reuter, M. C.; Khayyat, M. M.; Wen, C.-Y.; Haight, R.; Guha, S.; Ross, F. M., Growth System, Structure, and Doping of Aluminum-Seeded Epitaxial Silicon Nanowires. Nano Letters 2009.
2. Bryce, B. A.; Reuter, M. C.; Wacaser, B. A.; Tiwari, S., Contactless Measurement of Surface Dominated Recombination in Gold- and Aluminum-Catalyzed Silicon Vapor-Liquid-Solid Wires. Nano Letters 2011, 11 (10), 4282-4287.
3. Khayyat, M. M.; Wacaser, B. A.; Reuter, M. C.; Ross, F. M.; Sadana, D. K.; Chen, T.-C., Nanoscale chemical templating of Si nanowires seeded with Al. Nanotechnology 2013, 24 (23), 235301.
4. Khayyat, M. M.; Sadana, D. K.; Wacaser, B. A. NANOSCALE CHEMICAL TEMPLATING WITH OXYGEN REACTIVE MATERIALS United States Patent 8,349,715 Issued Jan 8, 2013
5:15 AM - SS12.04
Novel Synthesis of Diameter-Modulated Nanowire Materials
Robert Watson Day 1 Max N. Mankin 1 Ruixuan Gao 1 Sun Kyung Kim 2 Thomas J. Kempa 1 Charles M. Lieber 1 3
1Harvard University Cambridge USA2Kyung Hee University Gi-heung gu Republic of Korea3Harvard University Cambridge USA
Show AbstractSynthetic control of complex core/shell nanowires (NWs) has led to the development of promising building blocks for applications ranging from solar energy conversion to quantum computing. Here, we report a new dimension of NW synthesis whereby highly-controlled shell deposition on uniform diameter NW cores can yield diameter-modulated NW structures with tunable periodicity and aspect ratio. For example, synthesis studies carried out on NW cores with diameters of 100 nm showed that maximum/minimum shell diameters could be varied from 350/170 to 500/250 nm with periodicities continuously tunable from 500 nm to > 8 mu;m as a function of temperature and pressure. In addition, shell synthesis on smaller NW cores showed that the modulation amplitude can be greatly enhanced with maximum/minimum diameters of 250/30 nm with a 500 nm pitch. Systematic growth and electron microscopy studies have been used to develop a growth model, which is related to but distinct from Rayleigh instability, and provides a framework for design of these new structures. The generality of this growth model for design and synthesis of diameter-modulated NW materials of different compositions will be described. Lastly, studies of physical properties and potential applications of these new modulated NW building blocks for photonic, thermoelectric, and other areas will be discussed.
5:30 AM - SS12.05
Universal Growth Mechanism of Si Nanoscale Three-Dimensional Epitaxy
Jinkyoung Yoo 1 Shadi A Dayeh 2 Wei Tang 3 Tom Picraux 1
1Los Alamos National Laboratory Los Alamos USA2University of California San Diego San Diego USA3University of California Los Angeles Los Angeles USA
Show AbstractNanoscale electronic and photonic device systems require three-dimensional (3D) architectures to boost performance and efficiency. Semiconductor nanowires (NWs) have been considered as ideal building blocks for 3D architectured devices because of their inherent elongated shapes and versatility of modulating composition and dopant profile along multiple directions: axial and radial. For high-performance semiconductor devices, physical properties of materials system should be precisely controlled in spatial manner. Epitaxy can fulfill the requirements of thickness, dopant profile, composition distribution in 3D structure. However, there are still many unaddressed issues in two-dimensional (2D) thin film growth such as epitaxy on curved surface and nanosized-structures though there have been great successes in epitaxy on NW surfaces. Previously most studies of shell growth on NWs, a model system for 3D epitaxy, have focused on growth methods to obtain crystalline shells without elucidating fundamental growth mechanisms, including the effect of dimensions or impurities. Here we present a systematic study of doped and undoped Si 3D growth on NWs and nanosized planar structures. Our comprehensive study gives valuable new insights on universal growth mechanism of nanoscale 3D growth for high-performance semiconductor devices.
Single crystalline Si planar and radial shell growths were conducted on core Si NWs and Si stripes with widths of sub-micrometer scale. The dimensions of the core Si NWs and Si stripes were precisely controlled by lithographic techniques and Si deep reactive ion etching followed Crystalline 3D Si growth was performed by low-pressure chemical vapor deposition (CVD) growth. Atomically smooth Si template surfaces of NWs and stripes for 3D epitaxy were prepared by multi-step thermal oxidation and wet oxide etching. The single crystalline doped and undoped Si layers were grown in the range of 670 to 800oC to avoid autodoping issues at high growth temperatures. The growth rate and crystallinity of grown Si layers were investigated by scanning and transmission electron microscopy. Through a series of characterizations we observe an unprecedented dependence of growth rate of the single crystalline Si layers along the radial direction on initial diameter and the vertical direction on planar stripe, which cannot be explained by the Gibbs-Thomson effect (previously used for diameter-dependent vapor-liquid-solid growth rate of nanowires with diameters less than several tens of nanometers). We also discuss the effect of dopants on the epitaxial growth rate and discuss our current understanding of the growth mechanism of single crystalline Si radial shells at nanoscale dimensions. Our study gives novel insight on semiconductor growth in 3D architectures.
5:45 AM - SS12.06
MBE Growth of Self-Catalyzed Silicon Nanorods
Stefano Filo Ambrosini 1 2 Silvia Rubini 2 Dagou Zeze 1
1Durham university Durham United Kingdom2TASC IOM CNR Trieste Italy
Show AbstractIt has been demonstrated that Si may become a direct band semiconductor by virtue of quantum confinement effects [1]. This is a benefit for Si based nanostructures, which are promising for higher efficiency optoelectronic devices. Top-down fabrication of Si-based one-dimensional nanostructures suffers severely from the damages induced during the lithographic and etching processes. For instance, damage to the lateral-walls induced by etching leads to undesirable perturbations in the surface electronic states. Bottom-up approaches exploit the self-aggregation of atoms under optimal vacuum conditions to grow the nanostructure. Usually, Au nanoparticles are used to drive the one-dimensional growth, which though represent an intrinsic drawback for Si semiconducting capacities. Si nanowires growth by chemical vapor deposition with no need of metal nanoparticles has already been demonstrated on mechanically treated Si substrates [2]. However, no demonstration of Si nanowire growth by molecular beam epitaxy (MBE) has been reported to date without the use of Au nanoparticles.
We present self-aggregated short one-dimensional nanostructures of Si (nanorod, NR). They were synthesized by a direct deposition of Si onto “epiready” GaAs substrates by MBE, under arsenic flux, without the need for any metal catalyst or extensive substrate preparation. In situ high energy electron diffraction (RHEED) measurements indicated that the Si NRs grow along the GaAs substrate <111> direction, with a diamond-like crystal structure. The morphology of the NRs synthesized display a clear dependence on the arsenic flux. The analysis of the NW length as a function of the deposition time shows an asymptotical behavior, which is characteristic of a diffusion-limited growth mechanism. This was confirmed by the dependence of the NW length on the growth temperature: the elongation of the NRs was observed to increase with the growth temperature.
Self-aggregation of Si atoms under the form of a NR, which does not require any external treatment, coupled their interaction with the intrinsic properties of the host substrate offer a real promise in the development of Si NR-based nano-optoelectronic devices. Besides, this work promises to offer an insight into the role of surface GaAs oxide in the determination of the Si NR nucleation site, as will be shown by cross sectional transmission electron microscopy analyses.
References
L. T. Canham Appl.Phys. Lett. 57, 1046 (1990)
M. Cuscuna&’ et al., Nanotechnology 21(25) (2010)
SS9: Opticals Properties of Nanowires
Session Chairs
Wednesday AM, December 04, 2013
Sheraton, 2nd Floor, Grand Ballroom
9:00 AM - *SS9.01
Single Crystal Diamond Nanophotonics and Nanomechanics
Marko Loncar 1
1Harvard University Cambridge USA
Show AbstractIndividual color centers in diamond have recently emerged as a promising solid-state platform for quantum communication and quantum information processing systems, as well as sensitive nanoscale magnetometry with optical read-out. Performance of these systems can be significantly improved by engineering optical properties of color centers using nanophotonic approaches. Recent efforts in the field of diamond nanophotonics have already enabled efficient generation, storage, and manipulation of quantum information in diamond, and in the near future will likely result in the realization of integrated chip-scale systems for quantum communication and information processing (e.g. quantum repeaters). In my talk I will discuss our recent efforts in the field of diamond quantum photonics, and in particular generation and manipulation of single photon fields using optical waveguides (e.g. diamond nanowires) and nanocavities (e.g. photonic crystals and ring resonators) fabricated directly in single crystal diamond substrates. Beyond applications in quantum science and technology, diamond nanophotonics holds promise for the realization of advanced devices and systems that can leverage many of diamond&’s “extreme” material properties. For example, diamond&’s transparency over a wide wavelength range, its large third-order nonlinearity and excellent thermal properties, are of great interest for the realization of frequency combs and integrated Raman lasers. I will present our recent work on integrated all-diamond optical networks operating at telecom wavelengths and their potential for on-chip frequency comb generation. Finally, owing to diamond&’s excellent mechanical properties, nanomechanical and nano-optomechanical devices and systems fabricated in single crystal diamond substrates may result in better force, mass and displacement sensors capable of operation in harsh environments. In my talk I will present our recent efforts towards these goals.
SS13: Poster Session I
Session Chairs
Tobias Voss
Anna Fontcuberta i Morral
Qihua Xiong
John J. Boeckl
Chennupati Jagadish
Wednesday PM, December 04, 2013
Hynes, Level 1, Hall B
9:00 AM - SS13.02
Novel Fabrication of Carbon Nanotube Arrays
Asad Akhter 1 Brian Berry 1 Cory Stogsdill 1 Joshua Moore 1
1University of Arkansas at Little Rock Little Rock USA
Show AbstractThe unique structure of multiwall carbon nanotubes (MWNTs) along with their electrical properties allow them to be used as conducting or semiconducting elements in nanoscale sensors, transistors and solar cells. In this experiment, electrode arrays of MWNTs were created via a flow coating process in which a glass blade is used to drag a 30mu;L solution of MWNTs across a stationary glass slide. Due to the convective forces, the dispersed nanotubes are transported to the air/water interface which aids in creating parallel lines of MWNTs. The distance between these lines as well as their thickness was varied using a LabView user interface to control the flow coating instrument. The thickness of these lines and conductivity was found to depend on both deposition temperature and dwell time. The line spacings tested were 10mu;m, 25mu;m, 50mu;m, 75mu;m, 100mu;m, and 200mu;m. The temperature of the surface ranged from 25°C to 50°C and the dwell times studied were 2.5, and 5 seconds. Conditions were changed to determine optimal conditions required to maximize both transmittance and conductivity. The effectiveness of these lines as transparent electrodes has been evaluated.
9:00 AM - SS13.03
Observation of Singular Photoconductive Branch in Single Y-Junction CNT
Sudeshna Samanta 1 Deepika Saini 2 A. Singha 3 K. Das 1 Apparao M. Rao 2 A. K. Raychaudhuri 1
1S N Bose National Centre for Basic Sciences Kolkata India2Clemson University Clemson, South Carolina USA3Bose Institute Kolkata India
Show AbstractThe incessant miniaturization of electronic device technology is growing interest in nanoscale optoelectronic devices where single (SW)/ multiwalled (MW) carbon nanotubes (CNTs) in form of multi-terminal junctions are at the centre of intensive potential interests. Three terminal structures like “Y-junction” CNTs (YCNT) with their self contained gate terminal have gained enormous interest by providing rectifying properties, switching, and logic gates. First time we experimentally demonstrate the identification of a solitary photoconductive branch in a single Y-junction carbon nanotube (CNT) with respect to the other branches available. The analysis of current-voltage (I-V) characteristics shows that one of the branches is nonmetallic with respect to the other metallic branches and acts as a photoactive one. Experimentally, the photoconductivity studies had been already performed on SW and MW CNTs in form of single nanotube (NT) and films but the photoconductivity in a single YCNT has not been reported so far. The photoconductivity was recorded at photosensitive arm with laser light illumination of two different wavelengths 488 nm and 785 nm at different laser powers. The high value of photocurrent measured asymp;50% over the dark current which is not reported till date in such systems. We also found distinct ON/OFF states in the photosensitive arm when laser light was turned on/off. The photoresponse of the photoconductivity in the photoactive branch shows saturation with increased laser power for a particular wavelength of light illumination.
9:00 AM - SS13.04
A Molecular Precursor Approach to Aligned Chalcopyrite CuInSe2 Nanorod Arrays for Solar Cell Application
Mikhail Pashchanka 1 Jonas Bang 1 Niklas S. A. Gora 1 Ildiko Balog 1 Rudolf C. Hoffmann 1 Joerg J. Schneider 1
1TU Darmstadt Darmstadt Germany
Show AbstractPolycrystalline heterojunction solar cells with columnar morphology of the photovoltaic active layer, based on chalcopyrite compound CuInSe2 (CIS), have been intensively studied in recent decades.[1] The basic advantages of CuInSe2 as a light absorbing material are the high photovoltaic efficiency and stability of its properties with time. Quasi one dimensional (Q1D) CIS nanostructures, such as nanorods and nanowires, have received considerable interest because of their unique ability for independent adjustment of light absorption (by nanowire length) and charge separation (by the diameter). Nanowire-based photovoltaic layers will allow the fabrication of low-cost small size energy devices with economical use of materials.
In our preceding paper, we demonstrated electroless deposition of molecular precursors (Cu- and In-oximato complexes and thiourea) into track etched polycarbonate templates and synthesis of stoichiometric ternary CuInS2 nanorod arrays.[2] In the present work, we extend our method and demonstrate the synthesis of uniform polycrystalline CuInSe2 nanorods. Selenourea was used as a Se source analogous to thiourea in our previous publication. This facile liquid precursor method provides many benefits over currently used selenization techniques, or impregnation of a third metal cation into a binary selenide compound, and can also find application in printable photovoltaics or film deposition onto various standard substrates, e.g. polycrystalline alumina, low-cost glass or even flexible polymeric films.[3]
[1] R. A. Mickelsen, W. S. Chen, Y. R. Hsiao, V. E. Lowe, Ieee T Electron Dev 1984, 31, 542-546.
[2] M. Pashchanka, R. C. Hoffmann, J. J. Schneider, Eur J Inorg Chem 2012, 5621-5624.
[3] a) M. G. Panthani, V. Akhavan, B. Goodfellow, J. P. Schmidtke, L. Dunn, A. Dodabalapur, P. F. Barbara, B. A. Korgel, J Am Chem Soc 2008, 130, 16770-16777; b) B. M. Basol, V. K. Kapur, C. R. Leidholm, A. Halani, K. Gledhill, Sol Energ Mat Sol C 1996, 43, 93-98.
9:00 AM - SS13.06
Mixed-Valence States of Ruthenium Dinuclear Complexes on Single Walled Carbon Nanotubes
Hiroaki Ozawa 1 Kazuma Kosaka 1 Tomomi Kita 1 Takumi Nagashima 1 Masa-aki Haga 1
1Chuo University Tokyo Japan
Show AbstractMixed-valence state in dinuclear metal complexes with bridging ligand has attracted of interest for a potential candidate toward molecular electronic devices because of unique charge polarization and high electron transport property. Single walled carbon nanotubes (SWNTs) have also attracted tremendous attention as promising one dimensional conductiong materials for fundamental studies and applications. Recently, composites of SWNTs and metal complexes have been studied in the field of electronics and sensors, because they can not only take advantage of excellent conductivity of SWNTs and large surface area but also introduce new electronic and photonic properties as a consequence of the interaction between SWNTS and mixed-valence complex.
Here we report synthesis of dinuclear ruthenium complexes bearing pyrene units as anchors to a SWNT surface, and investigate the composite formation between SWNT surface and ruthenium complexes through noncovalent π-π interactions of pyrene anchors. As a bridging ligand for ruthenium complexes, tetras(2-pyridyl)pyrazine(tppz) or benzene was used. The composite formation was confirmed by the UV spectra and XPS measurements. Because of large surface area of SWNT, the ruthenium complex was attached strong enough to measure the electrochemical measurements.
Original ruthenium dinuclear complex with tppz bridging ligand in CH3CN with 0.1 M TBAPF6 revealed two one-electron oxidations at 0.89 and 1.10 V at glassy carbon electrode. After the composite formation in CHCl3, the complex/SWNT composites was deposited on a Pt electrode by simple solvent evaporation. This complex/SWNT-modified showed two reversible oxidation waves at Epox = 0.86 and 1.08 V, which can be attributed to the oxidation of the ruthenium complex attached on SWNTs. The linear dependence of peak current vs scan rate indicated that the dinucear ruthenium complex was strongly adsorbed on the SWNT surface. The oxidation potentials of the composites are consistent with those of the complex in solution and model binuclear Ru complex, indicating conservation of the electrochemical properties of the binuclear Ru cores on carbon nanotube surface. Details will be discussed at the meeting.
9:00 AM - SS13.08
One-Dimensional Indium Telluride Nanostructures: Solvothermal Synthesis, Growth Mechanism and Properties
Shancheng Yan 1 Yi Shi 1 Liyan Zhou 1
1National Laboratory of Solid State Microstructures Nanjing China
Show AbstractIndium telluride is a compound semiconductor with a direct bandgap of 1.19 eV at room temperature, having promising applications in photodetectors, solar cells, gas sensing and hydrogen storage.
In this paper, a simple solvothermal approach was applied to synthsize 1D In2Te3 materials, including nanotubes and nanowires. The In2Te3 nanotubes were synthesized for the first time for our knowledge. The morphology was first studied by SEM and TEM. On the whole, In2Te3 nanotubes have a relatively uniform diameter of around 500 nm, with tube wall thickness of 50 to 100 nm and an average length of tens of microns. In contrast, In2Te3 nanowires have a diameter of 100 to 200 nm and a length of tens of microns. X-Ray Diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and Raman spectrum were used to study the composition and crystal structures.
Based on the In2Te3 nanotube experiment, experiment conditions were changed to synthsize other product in order to study how these conditions influence the results. After the summarizing, a possible growth mechanism of the indium telluride nanotubes was proposed: with a fast growth speed along the c-axis based on strong covalent bonds and a relatively slow growth along the circumferential direction based on weak van der waals interactions, In2Te3 nanotubes grew along the circumferential edges of initial nucleation.
Growth mechanism of the nanowires was also explained based on our previous studies of CdS nanowires: In the first step, the nuclei undergoes the transformation to a microsphere with nanosheets covering the surface; in the second step, the nanorods are formed on the surface; and in the last stage, nanorods grow to nanowires..
1D In2Te3 material has a broad light detection range covering the UV-visible-NIR region and a narrow bandgap close to intrinsic silicon, thus making it potential for applications in photodetectors and solar cells. What&’s more, In2Te3 nanotubes may be suitable for gas sensing and hydrogen storage due to high surface area. The BET surface areas of In2Te3 nanotubes were higher than those of In2Te3 nanowires from our experiments.
9:00 AM - SS13.09
Polysiloxane Nanotubes
Ana Stojanovic 1 Sandro Olveira 1 Stefan Seeger 1
1University of Zurich Zurich Switzerland
Show AbstractFor the first time polysiloxane nanotubes have been synthesized. Tubular nanostructures have been formed by two simple and inexpensive techniques. Via chemical vapour deposition technique at the room temperature when ethyltrichlorosilane is used or via a liquid phase method when methyltriethoxysilane is used as precursor. In case of chemical vapour deposition synthesis the shape of the tubes is controlled by changing only the water content in the reaction chamber prior to the coating; the diameter varies between 60 and 4000 nm. At the very high relative humidity values, above 90%, the ring shaped structures, with micron dimensions, observable even by optical microscopy are formed. While in liquid phase method polysiloxane nanotubes with very high aspect ratio of 800 are produced. The channel diameter inside the nanotubes is determined to be 10-20 nm, except at the tip of the tube, where the inner diameter was comparable to that of the outer at a length of approximately 200 nm, the length of channels is up to 5 µm. Preliminary results about growth kinetics in vapour phase indicate that polymerization during reaction occurs only at the tip of the tubes. Parameters, such as length, diameter and chemical composition of the various tubes were investigated using scanning electron microscopy, transmission electron microscopy and energy-dispersive X-ray spectroscopy. Glass substrates coated with polysiloxane nanotubes show extreme non-wetting properties exhibiting a sliding angle 0±1°.
9:00 AM - SS13.10
Confinement Effects in Solid Phase Recrystallization of Silicon Nanowires
Matthias Posselt 1
1Helmholtz-Zentrum Dresden-Rossendorf Dresden Germany
Show AbstractThere are several routes to produce advanced nanowire transistors based on silicon. In order to obtain the desired electrical properties doping of the nanowires is required. Ion implantation is one of the favored methods to introduce dopant atoms in a controlled manner. If relatively high ion fluences are needed the originally single-crystalline nanowire is amorphized. Subsequently, thermal processing must be used to restore the silicon crystal and to activate the dopants electrically. In planar structures a complete restoration can be achieved by solid-phase epitaxial recrystallization, whereas more complex processes take place in the nanowires, due to the significant influence of surfaces and interfaces. It is highly desirable to understand the recrystallization in such confined systems on the atomic level. This work presents results of molecular dynamics simulations of solid phase recrystallization of silicon nanowires. It is shown that for embedded and free nanowires the recrystallization rate slows down drastically compared to the planar solid phase epitaxy. Furthermore, a significant size effect is found: The reduction of the recrystallization rate is more pronounced for thinner nanowires than for thicker nanowires. In general the original crystal cannot be restored completely. In dependence on whether embedded or free nanowires are investigated several phenomena are observed, such as stacking fault and twin formation, random nucleation of separate crystalline grains, as well as edge rounding and necking. The simulation results are in qualitative agreement with experimental findings.
9:00 AM - SS13.11
Novel TEM Sample Preparation Using XeF2 Selective Etching
Stefano Filo Ambrosini 1 2 Leon Bowen 3 Budhika Mendis 3 George Cirlin 2 Alexei Buravlev 2 Andrew J Gallant 1 Michael C Petty 2 Vladimir Dubrovskii 2 Dagou Zeze 1
1Durham University Durham United Kingdom2Saint Petersburg Accademic University Saint Petersburg Russian Federation3Durham University Durham United Kingdom
Show AbstractCross sectional transmission electron microscopy (TEM) sample preparation and analysis are critical to gaining a better insight into the interaction between nanowires (NW) and their substrate. Conventional TEM sample preparation involves several manual mechanical steps to reduce the thickness of the specimen until it becomes electron-transparent, below 100 nm. Mechanical grinding is very delicate, particularly as the sample becomes very thin, with the final few microns thinned down by precision ion polishing. These steps are time consuming and have a high risk to damage the specimen. The extreme fragility and critical manual handling required for such processes are coupled with intrinsic drawbacks, e.g. potential for an irreversible surface damage induced in earlier polishing steps, often too aggressive.
We present a TEM sample preparation method that overcomes the main drawbacks of the conventional technique. The method based on XeF2 dry etching of silicon (Si) is orders of magnitude shorter in time and preserves the advantages of the conventional technique. It relies on a highly efficient, isotropic and selective XeF2 etching of Si, without adversely affecting the MBE grown GaAs NWs. A PMMA layer is dropcasted on the front side of the Si substrate hosting the NWs to facilitate their recovery and to meet safety requirements (to ensure that NWs are not airborne during the process). On the backside of the substrate, the surface SiO2 is removed to form an aperture. This allows selective and isotropic XeF2 etching of the Si until the entire substrate is etched away, leaving behind full-length NWs embedded into the PMMA matrix. The NWs are recovered by first dissolving the PMMA in acetone and then transferring them onto a carbon coated grid for TEM analysis. A comparison with its mechanical counterpart clearly demonstrates a superior, non-destructive yield of the protocol proposed. Careful tuning of the XeF2 etching time and conditions offers a better control of the results, e.g. to leave a residual Si shell around the NW stem. This is particularly interesting to gain a better understanding of the nanomechanical properties (e.g. stress and strain) at the nucleation stage which govern the properties of the NWs grown.
In brief, we present a new approach to TEM sample preparation that addresses the core drawbacks of conventional technique based on mechanical polishing. The proposed method is time efficient and based on XeF2 isotropic and selective dry etching of Si to remove the host substrate from the NWs. The technique is high yield, does not adversely affect the NWs and offers a convenient means of transferring the GaAs NWs onto other surfaces for post-process TEM analysis. It also offers an excellent potential to facilitate their integration into device fabrication via a bottom-up approach, using the PMMA layer as a transfer medium.
We acknowledge support from the UK RAEng and EU FP7: FUNPROB (269169) and NanoEmbrace (316751).
9:00 AM - SS13.12
Chiral Templating of Self-Assembling Nanostructures with Circularly Polarized Light
Jihyeon Yeom 1 Nicholas A. Kotov 1
1University of Michigan Ann Arbor USA
Show AbstractControl over the chirality of nanostructure is crucial to regulate physiological properties and pharmacological effects. However, selective synthesis of chiral nanostructures is a challenge that remains unsolved. Although some studies have been made to synthesize helical and chiral structures, there are many limitations: the structures are in micrometer scale, and the progress requires chiral templates or chiral stabilizers.
Self-assembly is one of the few practical strategies for making ensembles of chiral nanostructures. By direct control of the system properties, complex nanostructures can be fabricated via the self-assembly approach with desired building blocks on the molecular scale. One potential route to control the system properties is the incorporation of light to induce and control the self-assembly. Many studies have been demonstrated switching a helical polymer between mirror images with circularly polarized light in dilute solution.
Based on the previous studies, we hypothesized that racemic mixture of inorganic NPs can be helically self-assembled and be controlled by polarized light. In this work, we used CdTe NPs as inorganic building blocks. Green laser was chosen as the unique source of asymmetric induction due to its wavelength (543 nm) compatible with the light absorption peak of CdTe NPs at 535 nm. The illuminated circularly polarized light could induce self-assembly of CdTe NPs into twisted nanoribbons with selective handedness.
9:00 AM - SS13.13
Mechanism of Si Intercalation in Defective Graphene on SiC
Thaneshwor Prashad Kaloni 1 Mousumi Upadhyay Kahaly 1 Ying Chun Cheng 1 Udo Schwingenschlogl 1
1King Abdullah University of Science and Technology Thuwal Saudi Arabia
Show AbstractPreviously experimental reports on Si-intercalated graphene on SiC(0001) seem to indicate the possibility of an intercalation process based on the migration of the intercalant through atomic defects in the graphene sheet. We employ density functional theory to show that such a process is in fact feasible and obtain insight into its details. By means of total energy and nudged elastic band calculations we are able to establish the mechanism on an atomic level and to determine the driving forces involved in the different steps of the intercalation process through atomic defects.
Journal Ref:- J. Mater. Chem. 22, 23340 (2012)
9:00 AM - SS13.14
One-Dimensional II-VI Semiconductors Nanostructures: Controlled Synthesis and Nano-Optoelectronic Devices Applications
Linbao Luo 1
1Hefei University of Technology Hefei China
Show AbstractThe distinct properties of one-dimensional (1-D) II-VI nanostructures have opened new opportunities for the application of II-VI semiconductor materials in electronics and optoelectronics. In this talk, I will introduce our recent research work on controlled synthesis of 1-D II-VI nanostructures including ZnO, ZnS, ZnSe, CdS and so on. Then we will discuss how to assemble the as-prepared nanoscale building blocks into various nano-electronics and nano-optoelectronics devices such as field effect transistors (FETs), photovoltaic devices, chemical and biological nano-sensors, UV, visible, and inferred light photodetector, and non-volatile memory device. Detailed devices parameters are evaluated and compared with the existing devices. At last, device optimization and the working mechanism of these devices will be provided. These recent results have clearly demonstrated that the 1-D II-VI nanostructures should find potential applications in future optoelectronics and electronics.
References:
1. Fang-Ze Li, Lin-Bao Luo*, Xiao-Li Huang, Yong-Qiang Yu, Ji-Gang Hu, Chun-Yan Wu, Li Wang, Zhi-Feng Zhu, Jian-Sheng Jie*, Shu-Hong Yu*, “Ultra-high carrier mobility p-type CdS nanowires: Surface charge transfer doping and photovoltaic device applications”, Adv. Energy. Mater, 2013, 3, 579-583
2. Biao Nie, Lin-Bao Luo*, Chao Xie, Peng Lv, Jian-Sheng Jie, Mei Feng, Fang-Ze Li, Chun-Yan Wu, Li Wang, Yong-Qiang Yu, Shu-Hong Yu, “Monolayer graphene film/ZnO nanorods array Schottky junction ultraviolet photodetector”, Small, 2013, In press, DOI:10.1002/smll.10120318
3. Biao Nie, Lin-Bao Luo*, a Jing-Jing Chen, Ji-Gang Hu, Chun-Yan Wu,1 Li Wang, Yong-Qiang Yu, Zhi-Feng Zhu, Jian-Sheng Jie “Fabrication of p-type ZnSe:Sb nanowires for high performance ultraviolet light photodetector application”, Nanotechnology, 2013, 24, 095603.
4. Yu Yong Qiang, Lin-Bao Luo*, Zhi-Feng Zhu, Biao Nie, Yu-Gang Zhang, Long-Hui Zeng, Yan Zhang, Chun-Yan Wu, Li Wang, and Yang Jiang, “High-speed ultraviolet-visible-near infrared photodiodes based on p-ZnS nanoribbon/n-silicon heterojunction”, CrystEngComm, 2013, 15, 1635.
5. Feng M, Lin-Bao Luo(Co-first author), Nie B, Yu SH, “p-Type Beta-Silver Vanadate Nanoribbons for Nano-Electronic Devices with Tunable Electrical Properties”, Adv. Funct. Mater., 2013, accepted. DOI:10.1002/adfm.201300413.
6. Di Wu, Yang Jiang*, Yugang Zhang, Yongqiang Yu, Zhifeng Zhu, Xinzheng Lan, Fangze Li, Chunyan Wu, Li Wang and Lin-Bao Luo*, “Self-powered and fast-speed photodetector based on CdS:Ga nanoribbon/Au Schottky diodes” J. Mater. Chem., 2012, 22, 23272.
9:00 AM - SS13.15
Inorganic (WS2) Fullerene-Like Nanoparticles and Multiwall Nanotubes and Their Application in Novel Polymer Nanocomposites
Alla Zak 1 Reshef Tenne 2 Lev Rapoport 1 Eyal Zussman 3
1Holon Institute of Technology Holon Israel2Weizmann Institute of Science Rehovot Israel3Technion - Israel Institute of Technology Haifa Israel
Show AbstractThe scaled up high-temperature synthesis of a pure phase of inorganic multiwall nanotubes (INT) and inorganic fullerene-like (IF) nanoparticles of WS2 was recently reported. The majority of the nanotubes range from 1 to 20micron in length and 30 to 120nm in diameter, while the diameter of the IF nanoparticles varies from 50 to 150nm. The nanotubes were shown to exhibit very favorable mechanical properties, i.e. strength of up to 20GPa and maximum strain of about 10%, offering the possibility to use them for reinforcing polymer nanocomposites. Special efforts were committed to produce loosely agglomerated nanoparticles that can be easily dispersed in organic solvents and different polymer matrices. In particular, nanocomposites with IF and INT based on engineering polymers like PEEK, PMMA and epoxy were studied. Tiny amounts of INT/IF (0.5-2.5%) were used to strengthen these polymers. The mechanical and thermal properties of the polymers were significantly improved by using these closed-cage nanoparticles.
For instance, PEEK/IF-WS2 composite obtained at different concentartions demonstrated 31% improvement of the storage modulus, 17°C increase of Tg and 60°C increase in the thermal stability of the composite[1]. In another study IF-WS2 were used for obtaining self-lubricating surface of PEEK coatings[2]. It was shown that the addition of 2.5wt% of IF-WS2 reduce the surface coefficent of friction (CoF) of this composite by up to 70%.
Electrospun fibers of PMMA composite compounded with INT-WS2 were recently prepared and studied. Their elastic modulus increased 10-20 fold by adding 1-2wt% of INT and this without compromising their tensile strength.
Epoxy composite impregnated with 0.5wt% IF-WS2 nanoprticles exhibited enhanced shear strengths and shear moduli together with a significant increase in the peel strengths (up to 100%). The formation of a chemical interaction between the nanoparticles and the epoxy resin was confirmed by FTIR explaining the good adhesion between the IF-WS2 nanoparticles and the polymer matrix. Recently, the tribological properties of epoxy composites with nanoparticles of different geometries like microscopic platelets (2H), quasi-spherical IF and nanotubes were studied. Mainly, all the investigated nanocomposites demonstrated a reduction of the CoF and wear compared to the neat epoxy. However, the improvement was not uniform and varied according to the particles&’ shape, concentration and experimental conditions. The lowest value of the wear was obtained for INT/epoxy composite, while the lowest coefficient of friction - for IF/epoxy composite. Composites with 2H consistently showed the worst results with respect to both the CoF and wear. Low friction and wear of IF/INT - nanocomposites is associated with the lubricating properties of the INP and their capability to reinforce the polymers matrix.
[1] M.Naffakh et al., J. Phys. Chem. B 2010, 114, 11444
[2] X. Hou et al., Surf. Coat. Tech. 2008, 202, 2287
9:00 AM - SS13.16
Length-Dependent Optical Properties of Single-Walled Carbon Nanotube Samples
Anton Naumov 1 2 Dmitri A. Tsyboulski 1 Sergei M. Bachilo 1 R. Bruce Weisman 1
1Rice Univ Houston USA2Ensysce Biosciences Inc. Houston USA
Show AbstractThe length dependence of the optical properties of single-walled carbon nanotubes have been studied using bulk SWCNT dispersions subjected to length fractionation by electrophoretic separation or by ultrasonication-induced scission. Fractions ranged from ca. 120 to 760 nm in mean length. SWCNT samples were first prepared by shear-assisted dispersion to obtain initial distributions with lengths in the 1 micrometer range and few induced defects prior to shortening by controlled ultrasonic processing. After accounting for processing-induced changes in the surfactant absorption background, SWCNT absorption was found constant within ±11% as average nanotube length changed by a factor of 3.8. This indicates that the absorption cross-section per carbon atom is not length dependent. By contrast, in length fractions prepared by both methods, the bulk fluorescence efficiency or average quantum yield increased with SWCNT average length approaching an apparent asymptotic limit near 1 micrometer. This result is interpreted as reflecting the combined contributions of exciton quenching by sidewall defects and by the ends of shorter nanotubes. Mathematical modeling of the quantum yield based on the experimentally found parameters while taking into account only end defects suggested a mean exciton excursion range of ~50 nm. This estimate is significantly below the 90-200 nm exciton excursion ranges found previously for individual, nearly-pristine SWCNTs that are expected to have lower defect density than typical processed SWCNTs.
9:00 AM - SS13.17
Piezotronic Effect: A New Concept for Designing High Sensitive Bio/Chemical Sensors(1-3)
Ruomeng Yu 1 Caofeng Pan 2 Simiao Niu 1 Jun Chen 1 Guang Zhu 1 Zhong Lin Wang 1 2
1Georgia Tech Atlanta USA2Beijing Institute of Nanoenergy and Nanosystems, Chinese Academy of Sciences Beijing China
Show AbstractPiezotronic effect(4) was utilized to enhance the performances of metal-semiconductor-metal (MSM) structured(5) Schottky contacted ZnO nanowire (NW) based bio/chemical sensors by tuning the effective Schottky Barrier Height (SBH) at the MS interface. Externally applied strains produce an inner field piezopotential along the c-axis of ZnO NW, which is accounted for the change of the effective height of Schottky barrier at local contact, and hence enhancing the signal level, sensitivity and detection limit of the bio/chemical sensors. Furthermore, the strain-induced piezopotential along the ZnO NW will lead to a nonuniform distribution of the target molecules in detected ambient owing to electrostatic interaction, making the sensors proactive to detect the target species even at extremely low overall concentration, which naturally improves the sensitivity and lowers the detection limit. A theoretical model is proposed to explain the observed behavior of the sensors by using energy band diagrams. This prototype device provides a new concept for designing bio/chemical sensors with super high sensitivity, fast response and reset time, good selectivity and impressive signal-to-noise ratio by introducing an external strain to tune the effective SBH at local contact controlled by piezotronic effect.
References:
1. Yu, R. M.; Pan, C. F.; Wang, Z. L. High performance of ZnO nanowire protein sensors enhanced by the piezotronic effect. Energ Environ Sci 2013, 6, (2), 494-499.
2. Pan, C. F.; Yu, R. M.; Niu, S. M.; Zhu, G.; Wang, Z. L. Piezotronic Effect on the Sensitivity and Signal Level of Schottky Contacted Proactive Micro/Nanowire Nanosensors. Acs Nano 2013, 7, (2), 1803-1810.
3. Yu, R. M.; Pan, C. F.; Chen, J.; Zhu, G.; Wang, Z. L. Enhanced Performance of ZnO Nanowire-Based Self-Powered Glucose Sensor by Piezotronic Effect. Advanced Functional Materials (Accepted) 2013.
4. Wang, Z. L. Piezotronic and Piezophototronic Effects. J Phys Chem Lett 2010, 1, (9), 1388-1393.
5. Yu, R. M.; Dong, L.; Pan, C. F.; Niu, S. M.; Liu, H. F.; Liu, W.; Chua, S.; Chi, D. Z.; Wang, Z. L. Piezotronic Effect on the Transport Properties of GaN Nanobelts for Active Flexible Electronics. Adv Mater 2012, 24, (26), 3532-3537.
9:00 AM - SS13.18
Aluminum / Boron Nitride Nanotube Composites by High Pressure Torsion
Maho Yamaguchi 1 2 Fanqiang Meng 1 Naoyuki Kawamoto 1 Seiichiro Ii 1 Brian Richard Pauw 1 Konstantin Faersein 3 Dmitry Shtansky 3 Koichi Tsuchiya 1 Dmitri Golberg 1 2
1National Institute for Materials Science Tsukuba Japan2University of Tsukuba Tsukuba Japan3National University of Science and Technology Moscow Russian Federation
Show AbstractIt is getting more and more important to provide superstrong and superlight materials for vehicles to make them lighter and reduce the amount of carbon dioxide or fuel cost. Light metal matrix composites made of aluminum and carbon nanotubes (CNTs) have become of great interest with respect to utilization in automotive, aircraft and aerospace industries [1]. However, CNTs have drawbacks for those applications such as absorption of light and ease in bundling and buckling. Boron nitride nanotubes (BNNTs), while having quite similar structures to CNTs, are particularly known for their remarkable mechanical properties, no absorption of visible light, high thermal, chemical and oxidation stabilities, and straight needle-like morphologies. They have low density (1.4 g/cm3), high ultimate tensile strength (~35 GPa) and high Young&’s modulus (~1TPa), thus the figures which are much more impressive than those typical for standard strengthening materials, such as aluminum oxide or silicon carbide. For making decently strong composites it is very important to have intimate and robust interfaces between metals and nano-reinforcing fibers. Boron nitride nanotubes are able to create such interfacial structures and maintain them up to very high temperatures due to their superb thermal and oxidation stabilities (up to ~1000 °C ) in air. We use high pressure torsion (HPT) technique to fabricate aluminum, titanium or magnesium based metal matrix-boron nitride nanotube composites through powder solidification under intense shearing deformations and using high pressure. We will present the results of composite structural studies using microscopic techniques (SEM, HRTEM) and their mechanical property investigations under hardness, compression and tensile tests.
[1] Bakshi S.R., Lahiri D., Agarwal A. Inter. Mater. Rev. 55(1) (2010), 41-64
9:00 AM - SS13.19
Electron Transport Properties of Anodized Single Titanium Dioxide Nanotubes
Kei Noda 1 Masashi Hattori 2 Kei Kobayashi 3 Hirofumi Yamada 3 Kazumi Matsushige 4
1Keio University Yokohama Japan2Kyushu University Kasuga Japan3Kyoto University Kyoto Japan4Shikoku University Tokushima Japan
Show AbstractAnodized titanim dioxide (TiO2) nanotube arrays (TNAs) have been intensely studied because of their diverse potential applications based on their unique combination of wide-band-gap semiconductor properties, nanotube geometry and large surface area. However, the relationship between individual nanotubes and their assembled objects, viz. TNAs, in structural and physical properties is still unknown. In order to clarify this relationship, investigating electrical properties of single nanotube is quite an important issue. In this work, we established an efficient method to disassemble an anodized TNA and electrical transport in anodized single titanium dioxide nanotube (TNT) free from any structural effects of TNA was investigated.
Single TNTs were prepared by employing two-step anodization of titanium foils. After anodizing a titanium foil, the bottom side of the formed TNA was steadily connected with the Ti foil. However, owing to the second anodizing treatment of the same specimen, the TNA formed with the first anodization could be mechanically removed from the Ti foil. Then, single TNT bridges between gold electrodes with a gap of 500 nm were prepared by dielectrophoretic alignment. Quantitative evaluation of electron mobility inside single anatase and rutile TNT was performed by 2-probe current-voltage measurement and analysis based on a metal-semiconductor-metal circuit model with Schottky barriers. By using this method, the electron mobility of the single anatase and rutile TNT was estimated to be 3.6 cm2/(Vs) and 0.08 cm2/(Vs), respectively. These values are comparable to those of the anatase and rutile TiO2 polycrystalline thin films, and much larger than those of TiO2 nanoparticle films. These electron transport properties on anodized TNT suggest that electron transport in TNA is interfered with some form of disorder, such as intertube-contacts within bundled TNT. Our approach to intrinsic electrical transport of single nanotube is quite effective for understanding the electronic and optical properties of TNA.
9:00 AM - SS13.21
Carbon Nanotube Reinforced Crosslinked High Density Polyethylene Composites for Geothermal Piping Systems
Eleftheria Roumeli 1 Dimitrios G Papageorgiou 1 Theodora Kyratsi 2 Dimitrios Bikiaris 3 Konstantinos Chrissafis 1
1Aristotle University of Thessaloniki Thessaloniki Greece2University of Cyprus Nicosia Cyprus3Aristotle University of Thessaloniki Thessaloniki Greece
Show AbstractCarbon nanotubes (CNTs) based composites are currently one of the most promising and challenging scientific subject and the development of new composites that take full advantage of the outstanding mechanical performance of CNTs has been the ultimate goal of the industry and academic research during the past years. Crosslinked polyethylene (PEX) has also gained a lot of attention by the polymer production industry and it is mainly used for piping systems in geothermal applications especially in ground heat exchangers and under-floor heating/cooling as it meets with the strict mechanical properties requirements while maintaining a low capital cost. However, its low thermal conductivity remains a serious drawback and the whole application can never reach its maximum performance. The aim of the present work was to prepare novel PEX/CNTs nanocomposites of higher thermal conductivity and mechanical properties, suitable for geothermal applications.
PEX was synthesized by the two-step silane grafting and water crosslinking method and CNTs (purchased from Chengdu Organic Chemicals Co. Ltd.) up to 5 wt% were incorporated in the polymer matrix using solid state ball milling and subsequent melt mixing in a twin-screw co-rotating extruder.
Thermal conductivity was calculated by the combination of thermal diffusivity measurements using Laser Flash Analysis (LFA 457, Netzsch) and specific heat capacity measurements using temperature modulated differential scanning calorimetry (DSC Q 200, TA Instruments). The thermal diffusivity and specific heat capacity were found to be increased in the presence of CNTs and the thermal conductivity of some nanocomposites was more than 200% higher than the conductivity of PEX.
The mechanical properties of all the samples were evaluated using an Instron 3344 dynamometer, in accordance with ASTM D638 and a significant improvement of mechanical properties was found for all nanocomposites. The addition of CNTs leads to a great enhancement especially of the elastic modulus. The mechanical behavior upon failure was also examined and a noticeable improvement of ultimate stress and elongation at break was found only for the nanocomposites with filler content below the turning point of 1 wt%. It was found that the composites with low filler content retain the ductile behavior of the original polymer while higher loadings result in a more brittle performance.
The thermal stability of the composites was also evaluated by thermogravimetry (Setsys 16/18, Setaram) and was found to be enhanced compared to that of neat polymer.
Acknowledgement
This work was supported by the Greek General Secretariat of Research and Development (09SYN-33-484) and by the European Union (European Social Fund - ESF) and Greek national funds through the Operational Program "Education and Lifelong Learning" of the National Strategic Reference Framework (NSRF) - Research Funding Program: Heracleitus II.
9:00 AM - SS13.22
Synergy between Carbon Nanotubes (CNTs) and Polyaniline (PAni) in Layer-by-Layer (LbL) Films to Detect 2-Chlorophenol
Juliana Silva 1 Carlos Constantino 2 Fabio Simamp;#245;es 3 Marystela Ferreira 1
1UFSCar/Sorocaba Sorocaba Brazil2UNESP Presidente Prudente Brazil3UNIFESP Diadema Brazil
Show AbstractOrganochlorine compounds exhibit high toxicity, environmental persistence and tendency to bioaccumulate, spreading through the air and water, thus affecting humans and the environment. Detecting such compounds in a fast, straightforward manner was the goal of the present study, for which we fabricated sensors with layer-by-layer (LbL) films where synergy was sought between multi-walled carbon nanotubes (MWCN) and polyaniline (PAni). Three types of LbL film architecture were tested, namely PAni/PVS (poly(vinyl sulfonate)), MWCNT/PEI (poly(ethyleneimine)) and MWCNT/PAni. PAni was doped in both types of film, displaying a polaronic band at ca. 800 nm in the UV-VIS. absorption spectrum for the PAni/PVS film, while for the other the spectrum was dominated by MWCNT which absorbed in the same wavelength region, but doping was nevertheless confirmed with FTIR and Raman spectroscopies. These 10-bilayer LbL films deposited on the conducting ITO were used as sensing units for the electrochemical detection of 2-chlorophenol. No response could be obtained with the MWCNT/PEI film, but detection was possible with the PAni-containing films. Significantly, the sensitivity of the MWCNT/PAni film was four times the value for the PAni/PVS film, with a limit of detection 22 ppm in square wave voltammetry. The current measured increased with linearly with the 2-chlorophenol concentration. Therefore, MWCNTs enhance the sensing performance toward 2-chlorophenol by preserving the doped state of PAni in the LbL film and probably increasing the surface area of the sensing unit.
Acknowledgements: The authors are grateful to Fapesp, Capesp and CNPq for the financial support.
9:00 AM - SS13.23
Single-Walled Carbon Nanotube Networks for Ethanol Vapor Sensing Application
Albert G Nasibulin 1 Ilya Anoshkin 1 Prasantha Mudimela 1 Vladimir Ermolov 2 Esko Kauppinen 1
1Aalto University Espoo Finland2Nokia Research Center Helsinki Finland
Show AbstractNetworks of pristine high quality single walled carbon nanotubes (SWNTs), the SWNTs after Ar-plasma treatment (from 2 to 12 min) and carbon nanobuds (CNBs) have been tested for ethanol vapor sensing. It was found that the pristine high quality SWNTs do not exhibit any ethanol sensitivity, while the defect introduction in the tubes results in the appearance of the ethanol sensitivity. The CNB network showed the ethanol sensitivity without plasma treatment. Both CNB and low defective (after 3 min treatment) SWNT networks exhibit significant drift in the resistance baseline, while heavily plasma-treated (9 min) SWNTs exhibited high ethanol vapor sensitivity without the baseline change. The mechanisms of the ethanol sensitivity and stability after the plasma irradiation are attributed to the formation of sensitive dangling bonds in the SWNTs and formation of defect channels facilitating an access of the ethanol vapor to all parts of the bundled nanotubes.
Ref: Anoshkin, I.V., Nasibulin, A.G., Mudimela, P.R., He, M., Ermolov, V., and Kauppinen, E.I., Single-walled carbon nanotube networks for ethanol vapor sensing applications, Nano Research 6, 77-86 (2013).
9:00 AM - SS13.25
Controlled Morphological Transformation of Highly Ordered Hollow Oxide Nanostructures Fabricated by the Nanoscale Kirkendall Effect
Leopoldo Molina-Luna 1 Sven Schildt 1 Marie Buffiere 2 Ke Du 3 Chang-Hwan Choi 3 Hans-Joachim Kleebe 1 Stephanos Konstantinidis 4 Rony Synders 4 5 Carla Bittencourt 4 Abdel-Aziz El Mel 2 4
1Technische Universitamp;#228;t Darmstadt Darmstadt Germany2IMEC Heverlee Belgium3Stevens Institute of Technology Hoboken USA4Research Institute for Materials Science and Engineering Mons Belgium5Materia Nova Research Center Mons Belgium
Show AbstractHollow oxide nanostructures are materials with a high technological potential for applications in various fields ranging from nano-optics, catalysis, sensing, energy storage, microreactors to drug delivery. Currently, there is an interest in the development of methods to fabricate hollow oxide nanostructures of a wide variety of materials. Among these hollow structures, oxide nanotubes are considered as potential building blocks for nanoelectronics. Up-to-now, the majority of the synthesis methods produce oxide nanotubes which suffer from short length and poor organization. Recently, a fabrication method of highly organized ultra-long metal oxide nanotube (length-up to several centimeters) based on the thermal oxidation of metal nanowire arrays selectively grown on nanograting template structures has been developed. This route, based on the nanoscale Kirkendall effect, is also extendable to periodic zero-dimensional hollow nano-objects. In this contribution we present an extensive structural study of the morphological transformation of oxide nanotubes upon in situ annealing in a transmission electron microscope. Based on this, the role of oxygen on the fundamental mechanisms occurring during the formation of such oxide nanotubes will be discussed. These results show the structural transformation and copper ions diffusion inside an oxide nanotube due to the effect of heating. The experiments were performed to temperatures higher than 600°C and done using a dedicated Gatan 652 heating holder. Further ex situ spectroscopic studies revealed the chemical nature of the Cu based surrounding core-shell structure.
9:00 AM - SS13.26
Synthesis and Its Electrical Properties of (M = Zn, Rh) Porphyrin-Imide Dyads Linked to Single-Walled Carbon Nanotubes as Electrodes for Single-Molecule Diodes
Murni Handayani 1 Syun Gohda 1 Hirofumi Tanaka 1 Daisuke Tanaka 1 Takuji Ogawa 1
1Osaka University Osaka Japan
Show AbstractSingle-molecule electronic devices are promising candidates for the next generation of electronic devices owing to their low cost, high flexibility, and synthetic tailorability. In particular, the diode behavior of single molecules can be utilized as an important function for electronic devices. The electronic probing of individual molecules in a single-molecule diode is a challenging task because of the difficulties in attaching both sides of a molecule to the electrodes. Recently, single-walled carbon nanotubes (SWNTs) have been used as electrodes separated by a nanogap of less than 10 nm. In this study, 5,15-bis(4-tert-buthyl biphenyl) porphyrin-imide dyads with different metal centers of zinc and rhodium were synthesized and connected covalently between SWNTs used as electrodes. DFT calculation results revealed that the HOMO and LUMO energy levels of the dyads were completely separated, indicating the absence of any intramolecular interaction between the donor and acceptor. Moreover, on the basis of DFT results, it was predicted that zinc and rhodium metal centers would result in different electronic properties of the dyads. The connection of a molecule with two SWNT electrodes was evidenced by the coordination of the rhodium porphyrin-imide dyad with pyridine-tert-dodec-Au NPs (~3 nm in size) used as a marker. AFM topography was employed to confirm that the Au NPs were attached to a molecule. Moreover, the topography revealed the presence of particles with a height of 2.6 nm, and subsequent TEM observations revealed them to be part of a single-molecule junction. The electrical properties of the dyads were measured by point-contact current imaging atomic force microscopy (PCI-AFM), and the results for the rectification behavior of the junction between SWNTs and zinc porphyrin-imide revealed very large values of current (on the order of 728 nA) and rectification ratio (38). These large values demonstrated that molecules take part in the rate-determining step; in contrast, SWNTs under the gold electrodes showed semiconductor conduction, which is a typical characteristic of SWNTs. DFT results confirmed that it was possible to control the electrical properties of the SWNT-molecule-SWNT junctions by changing the molecular structure of the diode. The merit of this method is that it is possible to connect more than two electrodes to a single molecule for fabricating molecular integrated circuits.
9:00 AM - SS13.28
Enhanced Triboelectric Nanogenerators and Triboelectric Nanosensor Using Chemically Modified TiO2 Nanomaterials
Zong-Hong Lin 1 Yannan Xie 1 Ya Yang 1 Sihong Wang 1 Guang Zhu 1 Zhong Lin Wang 1 2
1Georgia Institute of Technology Atlanta USA2Chinese Academy of Sciences Beijing China
Show AbstractMechanical energy harvesting based on triboelectric effect has been proven to be a simple, cost-effective, and robust method for electricity generation. Since the first invention of triboelectric nanogenerator (TENG) in 2012, it has been developed into a new energy technology for efficiently converting mechanical vibration into electricity that can instantaneously drive 600 light-emitting diodes (LEDs) and even can charge a lithium ion battery for powering a wireless sensor and a commercial cell phone. As for TENG, increasing the charge generation can be achieved by selecting materials with larger difference in the ability to attract and retain electrons, changing the substrate morphology, and enlarging the contact area of materials. In this paper, we develop the triboelectric effect-based nanosensor for the detection of catechin by utilizing TiO2 nanomaterial (nanowire and nanosheet) array as the probe and contact material. This novel self-powered TENG is highly sensitive (detection limit of 5 mu;M and linear range of 10 mu;M to 0.5 mM) and selective toward catechin detection, demonstrating great potential for the determination of catechin concentrations in real samples. Among the currently proposed TENG designs, this study is first of its kind to increase the TENG performance through chemical modification. With the charge transfer mechanism from catechin to TiO2 nanomaterial, the generated voltage is increased from 4.3 V up to 21.3 V (5.0 times) while the generated current density is increased from 1.1 mu;A/cm2 up to 3.2 mu;A/cm2 (2.9 times). With such outstanding results, we believe that this unprecedentedly innovative mechanism will form the foundation for high-power TENG and contribute to the future development of self-powered nanosensors.
References:
1. Lin, Z.-H.; Xie, Y.; Yang, Y.; Wang, S.; Zhu, G.; Wang, Z. L. ACS Nano 2013, 7,
4554-4560.
2. Lin, Z.-H.; Zhu, G.; Zhou, Y. S.; Yang, Y.; Bai, P.; Chen, J.; Wang, Z. L. Angew.
Chem. Int. Ed. 2013, 52, 5065-5069.
3. Wang, S.; Lin, L.; Wang, Z. L. Nano Lett. 2012, 12, 6339-6346.
4. Zhu, G.; Lin, Z.-H.; Jing, Q.; Bai, P.; Pan, C.; Yang, Y.; Zhou, Y.; Wang, Z. L. Nano
Lett. 2013, 13, 847-853.
9:00 AM - SS13.29
Molecular Perspective on Surfactant-Catalyzed Diazonium Adsorption for Controllable Surface Functionalization of Single-Walled Carbon Nanotubes
Shangchao Lin 1 2 3 Andrew Hilmer 2 Jonathan Mendenhall 2 Michael Strano 2 Daniel Blankschtein 2
1Massachusetts Institute of Technology Cambridge USA2Massachusetts Institute of Technology Cambridge USA3Massachusetts Institute of Technology Cambridge USA
Show AbstractSurface functionalization of single-walled carbon nanotubes (SWCNTs) not only enhances their colloidal stability, but also allows modification of their optical, electronic, and electrochemical properties. This opens new avenues for energy and environmental applications, ranging from nanoporous electrodes in supercapacitors to molecular sensors for contaminant detection. However, control of the functionalization process remains a challenge, requiring molecular-level understanding of the adsorption of reactive agents (in this study, diazonium ions) onto heterogeneous, charge-mobile SWCNT surfaces, which are typically decorated with surfactants. In this study, we combine molecular dynamics (MD) simulations, experiments, and equilibrium reaction modeling to understand and model the extent of diazonium functionalization of SWCNTs coated with various surfactants (sodium cholate, sodium dodecyl sulfate, and cetyl trimethylammonium bromide). We find that these surfactants, indeed, can function as catalysts to control the reaction kinetics of the functionalization process. We show that the free energy of diazonium adsorption, determined using simulations, can be used to rank surfactants in terms of the extent of functionalization attained following their adsorption on the nanotube surface. The surface charge density induced by the surfactant layers around the SWCNT dictates the adsorption and subsequently, the chemical reaction of the charged diazonium ions. The difference in binding affinities between linear and rigid surfactants is attributed to the synergistic binding of the diazonium ion to the local “hot/cold spots” formed by the charged surfactant heads. A combined simulation-modeling framework is developed to provide guidance for controlling the various sensitive experimental conditions needed to achieve the desired extent of SWCNT functionalization. Specifically, Henry&’s isotherm is used to model diazonium adsorptions at infinite dilute limit, while the Langmuir isotherm is used to model the equilibrium state of the chemical reaction.
9:00 AM - SS13.30
Low Temperature CNT Growth Kinetics on Metallic Substrates for Interconnects
Johannes Vanpaemel 1 2 Masahito Sugiura 3 Daire Cott 1 Marleen van der Veen 1 Zsolt Tokei 1 Stefan De Gendt 1 4 Philippe Vereecken 1 2
1imec Heverlee Belgium2KU Leuven Heverlee Belgium3TEL Tsukuba Japan4KU Leuven Heverlee Belgium
Show AbstractCarbon nanotubes (CNT) have been considered for various applications thanks to their remarkable electrical, mechanical and thermal properties. One of these applications is situated in the domain of integrated circuits, where CNT possibly can be used as next generation interconnects. For this application, it is crucial that CNT can be grown under CMOS compatible conditions as interconnects are a back-end-of-line process. Two main requirements are a low CNT growth temperature (< 400°C) and growth on a conducting substrate.
In this paper, we report on the study of CNT growth kinetics near CMOS compatible temperatures using a remote-plasma assisted chemical vapor deposition chamber (PECVD). We investigated the CNT growth on conducting TiN substrates and compared two CMOS compatible catalyst systems, i.e. Co and Ni. The growth kinetics of the CNT typically exhibit two regimes. At first, a constant growth rate is observed and after a certain time the growth is terminated. This termination is irreversible as the growth could not be reactivated. The initial growth rate β and catalyst lifetime tau; were determined for a variety of experimental conditions. Interestingly, a relationship between the catalyst lifetime and the initial growth rate was found. Indeed, at a fixed temperature, regardless of the catalyst particle size or carbon feedstock, we observed that a larger initial growth rate means a faster termination of CNT growth. It was further found that the catalyst lifetime is extremely temperature sensitive. For instance, when lowering the temperature from 470°C down to 400°C, the catalyst lifetime decreases significantly resulting in a shorter terminated CNT length. As a result, a maximum CNT length of 1 µm is obtained at 400°C for Ni catalyzed growth. Finally, we&’ve extended a physicochemical model from literature [1] to explain our observations in the CNT growth kinetics at a low temperature.
These findings have strong implications for interconnects as, for example, for CNT-based TSV applications long CNTs (~50 µm) are needed. For this application, it will be important to investigate the growth termination process at low temperatures in order to counteract it. For interconnects at the contact level, 1 µm CNTs are sufficiently long to fill the contact hole for future generations. Here, the focus for future research lies more on improving the tube quality.
[1] A. A. Puretzky et al., Appl. Phys. A 81, 223-240 (2005)
9:00 AM - SS13.31
Optical Characterizations of Well-Aligned ZnO Nanowire Arrays Fabricated on Silicon Substrate
Takeshi Ishiyama 1 Tsutomu Fujii 1 Yuya Ishii 1 Mitsuo Fukuda 1
1Toyohashi University of Technology Tyohashi Japan
Show AbstractZnO nanostructures have been studied extensively for applications in mesoscopic physics and chemistry. The properties of ZnO depend strongly on both the size and shape of the nanostructures and the ability to easily manipulate the structure of ZnO has drawn an especial interest. A wide variety of nanoscale morphologies have been grown including wires, rods, tetrapods, belts, rings, and combs. ZnO nanowires and nanorods grown by vapor deposition techniques and solution based methods are of interest for applications in optoelectronic devices such as light emitting diodes, transparent field effect transistors, ultraviolet (UV) light detectors, and solar cells. Metal catalyst-assisted thermal chemical vapor deposition (CVD), via a vapor-liquid-solid (VLS) transport mechanism, is an established technique for fabrication of ZnO nanowires on Si substrates. Understanding of the nanowire growth processes is important for control over the nanowire synthesis. We investigated the structural and optical properties of ZnO nanowires grown on Si substrates by CVD growth methods studying the effect of gas flow rate on structural features of the ZnO nanowire arrays. The crystal structure of ZnO nanowires was confirmed by X-ray diffraction (XRD), the surface morphology was examined by scanning electron microscopy and room temperature PL measurements were performed. We confirmed that growth under an Ar gas flow leads to formation of well-aligned ZnO nanowire arrays and that the diameter of nanowires decreases at higher Ar gas flow rates. Disordered nanowire arrays, belt- and comb-like structures are observed at Ar gas flow rates above 3 L/min. The XRD patterns of the samples show that the nanowires can be indexed to a typical ZnO hexagonal wurtzite lattice structure. The relatively intense peak corresponding to the (002) crystal plane suggests that the ZnO nanowires are preferentially oriented along the c-axis. The ZnO nanowires exhibit UV emission and weak green emission. The ratio of the UV to visible emission intensity suggests that the crystallinity of ZnO nanowires grown under an Ar gas flow is improved compared with those grown without an Ar gas flow. Broadening of the UV emission spectra is observed in samples grown above 3 L/min. They contain a large variety of disordered nanostructures such as belt-like and comb-like structures which may contribute to the broadening of the UV emission spectra. Our findings allow optimization of the crystallinity of the resulting nanowires with potential for applications in practical devices.
9:00 AM - SS13.32
Evolution of Microstructure of Amorphous FeNiP Nanowire Arrays upon In-situ Annealing
Nina Winkler 1 2 Joern Leuthold 1 Martin Peterlechner 1 2 Gerhard Wilde 1 2
1Westfamp;#228;lische Wilhelms-Universitamp;#228;t Mamp;#252;nster Germany2Center for Nanotechnology Mamp;#252;nster Germany
Show AbstractAmorphous soft magnetic materials can be applied in high power high frequency conversion techniques due to their low energy loss per hysteresis cycle.
Bulk amorphous FeNiP is known to embrittle during annealing below the crystallization temperature. In literature this was attributed to a two step process of a loss of free volume and phase separation.
In this work, amorphous soft magnetic nanowire arrays are used to investigate the temperature stability of the amorphous alloy FeNiP in nanometer confined dimensions.
The fabrication of amorphous FeNiP nanowire arrays by electrodeposition using Anodic Aluminum Oxide (AAO) templates is presented. AAO is known as a versatile template for surface nanostructuring. The AAO template enables control of regularity and shape of nanostructures by its uniform distribution of pore lengths and diameters. The large-scale high regularity of the hexagonally arranged cylindrical pores, which stand perpendicularly on an aluminum substrate allows a large-scale fabrication of uniform nanostructures in hexagonal arrays. The prepared nanowires have diameters of 40 nm and a length up to 20 mu;m.
The structure of FeNiP nanowire arrays has been characterized by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The magnetic properties of the nanowire arrays have been investigated by vibrating sample magnetometer (VSM) measurements. The impact of heating rates on material phase evolution is studied by controlled annealing of the FeNiP nanowires in nitrogen atmosphere with heating rates of 20 K/min, 100 K/min and 500 K/min from 20°C to 450°C.
Fully amorphous FeNiP nanowires were obtained. Upon in-situ annealing in the TEM the microstructural evolution could be monitored. Long range diffusion was observed following a phase separation of FeNiP in multilayers of FeNi and FeNiP phases accompanied by the formation of cavities.
TEM investigations indicate that the heating rate offers control of the resulting microstructure. It is shown that AAO templates facilitate the study of diffusion controlled reactions by tailoring the confinement of the system. Therefore, systematic studies can be carried out shedding light on the embrittlement of nanowires caused by annealing.
9:00 AM - SS13.33
Magnetic Field Assisted Electrospinning of Nanofibers Using Solutions with PVDF and Fe3O4 Nanoparticles
Juan Angel Gonzalez 1 Rogerio Furlan 1 Raymond Lopez 1 Paul Valle 2
1University of Puerto Rico Humacao USA2University of Puerto Rico Humacao USA
Show AbstractThis study aims at investigating the formation of nanofibers containing poly (vinylidene fluoride) (PVDF) and Fe3O4 nanoparticles using magnetic field assisted electrospinning. For this purpose, two Helmholtz coils were mounted on the electrospinning apparatus in order to create a uniform magnetic field. Different separations, angles and magnetic fields are being analyzed. Polymeric solutions containing PVDF, DMF and acetone with a concentration of 18 wt% were adopted (DMF to Acetone ratio of 3 to 1). Iron Oxide Nanopowder (Fe3O4, particle diameter of 20 nm to 30 nm) to PVDF ratios are 1:5, 1:10 and 1:15. Both thin films and nanofibers respond to the presence of the magnetic field. The application of the electromagnetic field during fiber deposition results in better orientation of the polymer flow towards the grounded electrode and leads to smoother fibers with diameters in the range of hundreds of nanometers that exhibit magnetism at room temperature. Blisters probably, related to Fe3O4 agglomerates, were distributed on the surface of all samples of this study. Analysis of polarization-electric field hysteresis and magnetic behavior of thin films and nanofibers with different concentrations of PVDF are being performed.
9:00 AM - SS13.34
Photocatalytic Activity of SnO2 /Graphene/Graphene Oxide Composite
Frank Mendoza 1 Dionne Hernandez 2 Laura Mendez 1 Brad Weiner 2 Gerardo Morell 1
1University of Puerto Rico, Rio Piedras Campus San Juan USA2University of Puerto Rico, Rio Piedras Campus San Juan USA
Show AbstractSnO2 nanoparticles anchored on graphene oxide (flakes) were fabricated via solvothermal method. The composite was characterized by X-ray diffraction (XRD), Raman Spectroscopy, Fourier transform spectroscopy (FT-IR), Scanning Electron Microscopy (SEM), and Transmission Electron Microscopy (TEM). The samples show an interesting photocatalytic behavior under ultraviolet light irradiation. SnO2/G/GO has fast and high photosensitivity response. The presence of graphene contributes as an electron transporter of photocurrent generated charge carriers from tin dioxide nanoparticles. Graphene oxide preventing the agglomeration of tin dioxide.
9:00 AM - SS13.37
N-DMBI-Doped Graphene Field-Effect Transistors with High Electron Mobility and Air-Stability
Wentao Xu 1 Tae-Seok Lim 1 Hong-Kyu Seo 1 Sung-Yong Min 1 Himchan Cho 1 Min-Ho Park 1 Young-Hoon Kim 1 Tae-Woo Lee 1
1Pohang University of Science and Technology Pohang Republic of Korea
Show AbstractWe investigated the doping effect of solution-processed (4-(1,3-dimethyl-2,3-dihydro-1H-benzoimidazol-2-yl)phenyl)dimethylamine (N-DMBI) on chemical-vapor-deposited (CVD) graphene. Strong n-type doping was confirmed by Raman spectroscopy and the electrical transport characteristics of graphene field-effect transistors. The strong n-type doping effect shifts the Dirac point to around -100 V. Appropriate annealing at a low temperature of 80 oC enables a high electron mobility of 1150 cm2 V-1 s-1, which is so far one of the highest values obtained utilizing CVD-grown graphene as an active layer. The work function and its uniformity on a large scale (1.2 mm X 1.2 mm) of the doped surface were evaluated using ultraviolet photoelectron spectroscopy and Kelvin probe mapping. Stable electrical properties were observed in a device aged in air for more than one month.
9:00 AM - SS13.39
Enhanced Capacitive Properties of Manganese Dioxide Nanowires Coating with Polyaniline by In Situ Polymerization
Lihao Wu 1 2 Qinghua Zhang 1
1Donghua Unversity Shanghai China2Changshu Institute of Technology Suzhou China
Show AbstractAmong the transition metal oxides, manganese oxides have been widely studied for electrochemical capacitors and batteries, because of their high energy density, low cost, natural abundance and environmentally friendliness. However, the poor electrical conductivity of MnO2 limits its capacitive response. The advantages of easy synthesis and good conductivity make polyaniline become a unique and promising polymeric material with great potential applications in supercapacitors. So the mothed which can combine these two together firmly and get nanocomposite materials maybe can improve the conductivity and capacitive performance of the MnO2. Manganese dioxide coated with polyaniline as a coaxial nanowire is prepared. The polyaniline have been synthesized during the in situ polymerization and get a controllable thin coating on the well-dispersed manganese dioxide nanowires. This hybrid nanostructure enhances the conductivity and capacitive performance of the supercapacitor electrode. The electrochemical test delivers the specific capacitance as high as 474 F/g at 0.2 A/ g , which is twice higher than the manganese dioxide without coating the polyaniline.
9:00 AM - SS13.40
Microstructure and Mechanical Behaviour of Self-Ordered Ti(Sn,Sn) Oxide Nanotube Arrays
Jordina Fornell 1 Eva Pellicer 1 Nilson T.C. Oliveira 2 Nele Van Steenberge 3 Maria Dolors Baro 1 Claudemiro Bolfarini 2 Jordi Sort 1 4
1Universitat Autamp;#242;noma de Barcelona (UAB) Bellaterra Spain2Universidade Federal de Samp;#227;o Carlos Sao Carlos Brazil3OCAS NV Zelzate Belgium4Instituciamp;#243; Catalana de Recerca i Estudis Avanamp;#231;ats Bellaterra Spain
Show AbstractTi-Nb-Sn alloy exhibits good biocompatibility, high strength and low Young&’s modulus thus minimizing the so-called stress-shielding effect in orthopaedic components [1]. As a result, this alloy emerges as a potential candidate to be used in load bearing applications. The formation of nanotubes (NTs) on its surface could improve the adhesion between the implant and the bone, as reported for other Ti-based compositions [2], thus conferring additional interesting multi-functional properties.
Rods of 4 mm of Ti-21Nb-11Sn alloy (w.t.%) were prepared by levitation melting and subsequent injection into Cu mould. Self-organized oxide nanotube layers were grown by electrochemical anodization in 0.31 M NaF + ethylene glycol/water (50:50) electrolyte solution at voltages ranging from 5 to 50 V for 30, 60 or 150 min. The morphology of the nanotubes was found to be related to the alloy microstructure as well as to the anodizing conditions. When the anodization process was carried out at 20 V for 60 min (optimized test conditions) a self-organized nanotube formation with bimodal diameter distributions (about 20 and 60 nm on top) was observed [3]. The nanotube diameter increases with the applied potential whereas anodization time plays a minor role. Mechanical properties of the nanotube layer were assessed by nanoindentation on as-prepared amorphous NTs, 400°C annealed NTs (anatase phase) and 800°C annealed NTs (anatase+rutile phase).
[1] Geetha M et al. Progess in Materials Science 54 (2009) 397.
[2] Park J et al. NanoLetters 7 (2007) 1686.
[3] Fornell J et al. Electrochemistry Communications 33 (2013) 84.
9:00 AM - SS13.41
Properties of Electric Double Layer Capacitors Using Aminated Single-Walled Carbon Nanotube Electrodes
Kohei Bushimata 1 Yoshinori Sato 1 Kazutaka Hirano 2 Tatsuhiro Yabune 2 Takashi Itoh 3 Kenichi Motomiya 1 Hideki Ishida 1 Kazuyuki Tohji 1
1Tohoku University Sendai Japan2Stella Chemifa Corporation Osaka Japan3Tohoku University Sendai Japan
Show AbstractElectric double layer capacitors (EDLCs) are types of energy storage devices that exhibit high power density, rapid charge-discharge, and a long cycle life. The standard electrodes commonly used in EDLCs are activated carbons, which have a high specific surface area. However, high internal resistance causes low power density. Recently, single-walled carbon nanotubes (SWCNTs) have been used as electrodes for EDLCs. Since SWCNTs possess extremely low electric resistance and high electron mobility, the energy and power density of EDLCs using SWCNT electrodes are expected to be high. Here, we report the electrochemical behavior of sulfuric acid-based EDLCs composed of highly crystalline SWCNT (high-crystalline SWCNT) electrodes. Additionally, we investigate the properties of the EDLCs of SWCNTs functionalized with amine groups having a positive charge in acid solution in order to increase the specific capacitance.
We synthesized as-grown SWCNTs by the arc discharge method using Fe/Ni mixture particles as a metal catalyst. The as-grown SWCNTs were air oxidized and treated with hydrochloric acid to remove amorphous carbon and catalytic metal particles, respectively (purified-SWCNTs). The purified-SWCNTs were then annealed under a high vacuum ambient atmosphere at 1200°C for 3 hours (high-crystalline SWCNTs). We synthesized aminated SWCNTs using the following steps. Firstly, fluorinated SWCNTs were prepared by the direct fluorination of the high-crystalline SWCNTs to an approximate C2F stoichiometry. Secondly, the fluorinated SWCNTs were refluxed into ethylenediamine by stirring the reactants under a nitrogen atmosphere at 80°C for 5 hours in the presence of pyridine as a catalyst. The resulting SWCNTs were washed with ethanol to remove the residual ethylenediamine on the nanotube surface (aminated SWCNTs). Samples were characterized using scanning electron microscopy, high resolution transmission electron microscopy, Fourier transform-infrared spectroscopy, BET, and Raman spectroscopy. We assembled EDLC cells using free-standing aminated SWCNT electrodes and 30 wt% sulfuric acid as an electrolyte and evaluated the properties of the EDLCs using cyclic voltammetry (CV).
Our findings showed a specific capacitance of 96 F/g at a low scan rate of 10 mV/s and an energy density of 2.6 Wh/kg at a power density of 0.24 kW/kg. At the high scan rate of 500 mV/s, the capacitors exhibited a specific capacitance of 80 F/g and an energy density of 1.9 Wh/kg at a power density of 13 kW/kg. The EDLC properties of the free-standing aminated SWCNT electrodes showed a higher performance than those of binder-free carboxylated SWCNT electrodes.
9:00 AM - SS13.43
Strained Si Nanowires Growth on SnO2 Catalyst
Joanna Nassar 1 Aftab M Hussain 1 Hossain M Fahad 1 Muhammad Mustafa Hussain 1
1King Abdullah University of Science and Technology Thuwal Saudi Arabia
Show AbstractTo achieve high performance devices for future generation computational and communication devices, channel mobility can play critical role. Continuous scaling of device dimension has caused short channel effects where rise in drive current (required for high performance) is traded-off by rise in leakage power consumption (where the scaled devices face severe challenges controlling the transportation of charges). Therefore, 1-dimensional nanostructured devices such as nanowires and nanotubes with appropriate gating are considered as future of semiconductor electronics to mitigate short channel effects. Strained silicon is widely known but their cost is prohibitively high. Therefore, we show an in-situ method for growing vapor-liquid-solid (VLS) passed strained silicon nanowires directly onto a conductive current collector substrate, tin oxide on glass (SnO2/Cg) in a PECVD tool. Nanoscaled tin (Sn) droplets were first formed by H2 plasma treatment of the SnO2 layer, and are then used as catalysts for VLS growth of SiNWs in silane (SiH4) plasma. The reason for using tin as catalyst is that it allows lower temperature growth of the SiNWs with the VLS process. Through this study, we show how the size, density, morphology and compositional properties of SiNWs can be tuned through the change of process parameters (temperature, time and H2 dilution of the SiH4 plasma), allowing for controlled and enhanced future applications. The tin catalyst droplets and the grown SiNWs are characterized by atomic force microscopy (AFM), x-ray diffraction (XRD), scanning electron microscopy (SEM), and transmission electron microscopy (TEM). Results show that with increased treatment time, tin droplets increase in size (up to 30 nm), decrease in density and increase in roughness (up to 5 nm). SiNWs are found to be longer and straighter with increased temperature. Moreover, the SiNWs grown demonstrate a crystalline-amorphous core-shell, where large flows, high pressure, and high temperatures promote the yield of c-a core-shell Si NWs on SnO2/Cg substrates. This is a pleasant composition for battery applications since amorphous Si shells instead of crystalline Si cores are selected to be electrochemically active due to the difference of their lithiation potentials. Therefore, crystalline Si cores function as a stable mechanical support and an efficient electrical conducting pathway while amorphous shells store Li+ ions [Science, 312, 885 (2006)]. It is also worth noting that by tuning the process temperature in the plasma-assisted growth of the SiNWs, the content of tin in the crystalline core of SiNWs can be controlled from 3% to 6%. This work on the VLS grown SiSn NWs is one of the key research for the development of practical Si/Sn applications such as anodes for Li-ion batteries with high energy density. The results provide design guidelines for advanced Si nanostructures with the promise of further improvement in electrochemical performances.
9:00 AM - SS13.45
Novel Supercapacitor Fibers in a Coaxial Structure
Xuli Chen 1 Longbin Qiu 1 Jing Ren 1 Huijuan Lin 1 Huisheng Peng 1
1Fudan University Shanghai China
Show AbstractPortable and foldable electronic devices had been shown to be highly desired in the current life and further dominate the future life. To this end, it becomes critically important to develop matchable energy storage systems such as electrochemical supercapacitors to power them. The supercapacitor should be lightweight, flexible and stretchable, and could be easily integrated with high performances. However, the available supercapacitors typically appear in a heavy and rigid plate which cannot meet the above requirements. Herein, novel supercapacitor fibers in a coaxial structure have been developed from aligned carbon nanotube fiber and sheet which functioned as two electrodes. The unique coaxial structure enables a rapid transportation of ions between the two electrodes with a high maximum discharge capacitance of 59 F/g, and the high electrochemical performance has been well maintained at high currents.
9:00 AM - SS13.46
Conducting Polymer Nanostructures and Hybrid Nanomaterials Synthesized in Self-Assembled Matrices
Srabanti Ghosh 1 Samy Remita 2 1 Laurence Ramos 3 Alexandre Dazzi 1 Ariane Deniset-Besseau 1 Patricia Beaunier 4 Fabrice Goubard 5 Pierre-Henri Aubert 5 Hynd Remita 1
1Universitamp;#233; Paris-Sud UMR 8000-CNRS Orsay Cedex France2Conservatoire National des Arts et Mamp;#233;tiers, CNAM Paris France3Universitamp;#233; Montpellier 2 Montpellier France4UPMC, Universitamp;#233; Paris 6 Paris France5Universite de Cergy-Pontoise Cergy-Pontoise Cedex France
Show AbstractInnovative strategies to produce well-defined polymer nanostructures and hybrid nanocomposites are promising for their numerous potential applications in different fields1. Swollen hexagonal mesophases resulting from the surfactant mediated self-assembly in a quaternary system (water, surfactant, co surfactant, and oil) are versatile templates to synthesize nanomaterials of controlled shape in the water or in the oil phases2. 1D- 2D or 3D metal nanostructures, such as Pt and Pd nanowires, 3D-porous Pt, Pd, Pd-Au and Pd-Pt nanostructures have been developed in the confined water domain provided by these mesophases3, 4. Recently, conducting polymer nanostructures of controlled shapes (nanowires, nanorods, vesicleshellip;) have been synthesized by radiation chemistry or by chemical methods in the oil confined domains. In particular, a detailed investigation of the polymerization of polythiophene and polydiacetylene in the organic phase has been delineated. Metal/conducting polymer composite nanostructures are promising materials for the development of devices such as smart sensors and actuators and for applications in fuel cells and photovoltaics5.One-pot strategies for the synthesis of such nanocomposites have been developed by co-doping the mesophases with metal salts and monomers. Our methodology offers various advantages like simple processability, multifunctionalization, precise stoichiometry and high homogeneity.
References:
1. S. Ghosh, D. Ghosh, P. K. Bag, S. C. Bhattacharya and A. Saha, Nanoscale, 2012, 3, 1139.
2. E. P. du Santos, M. S. Tokumoto, G. Surendran, H. Remita, C. Bourgaux, P. Dieudonne, E. Prouzet, and L. Ramos, Langmuir, 2005, 21, 4362.
3. P. F. Siril, L. Ramos, P. Beaunier, P. Archirel, A. Etcheberry, and H. Remita, Chem. Mater. 2009, 21, 5170.
4. A. Lehoux, L. Ramos, P. Beaunier, D. B. Uribe, P. Dieudonné, F. Audonnet, A. Etcheberry, M. J. Yacaman, and H. Remita, Adv. Func. Mater. 2012, 22, 4900.
5. Y. Lattach, A. Deniset-Besseau, J. M. Guigner, S. Remita, Rad. Phys. Chem. 2013, 82, 44.
9:00 AM - SS13.48
Low-Temperature and Template-Free Fabrication of Co3O4 Acicular Nanotube Arrays and Their Application for Supercapacitors
Chung-Wei Kung 1 Yu-Heng Cheng 1 Hsin-Wei Chen 1 Kuo-Chuan Ho 1 2
1National Taiwan University Taipei Taiwan2National Taiwan University Taipei Taiwan
Show AbstractCobalt oxide (Co3O4) has been proposed for the material of supercapacitor (SC) due to its excellent redox activity; it shows a theoretical specific capacitance of 3560 F/g, which is much higher than those of the common SC materials such as MnO2 and RuO2. Various nanostructures of Co3O4 have been proposed for SCs to enhance the performance. Among various kinds of nanostructures, one-dimensional (1D) nanostructure arrays, which are well grown on a conducting substrate, are known to be beneficial for the electronic conduction along the axial direction from the arrays to their substrate. Thus, the 1D nanostructure arrays of Co3O4 are believed to exhibit high redox activity and achieve excellent performance for SCs due to the facile electron transportation. In fact, 1D nanorod or nanowire arrays of Co3O4 have been widely reported in literature. Compared to nanorods and nanowires, 1D nanotubes exhibit the similar advantage for electron transportation but offer much higher surface area due to their inside wall. Nevertheless, research on 1D nanotube arrays of Co3O4 is still rare. Co3O4 nanotube arrays can be prepared in an anodic aluminum oxide template by depositing Co(OH)2 into the template and annealing [1], but such arrays can not be aligned on a conducting substrate without structural damages. To the best of our knowledge, the template-free fabrication of Co3O4 nanotube arrays has not been reported.
On the other hand, the powder of Co3O4 nanotubes was prepared by a template-free approach [2]. However, the nanotubes in powder form can not be arranged on a conducting substrate in a regular fashion or arrays. Moreover, high-temperature annealing was still required to obtain Co3O4 in this method. As far as we know, there is no literature reporting Co3O4 nanotubes prepared at low temperature.
In this study, 1D Co3O4 acicular nanotube arrays (ANTAs) are fabricated on a conducting graphite substrate by a two-step, template-free, and annealing-free approach. The cobalt carbonate hydroxide (CCH) acicular nanorod arrays (ANRAs) are first grown on the substrate by chemical bath deposition at 90 °C for 3 h. Then, the obtained substrate is soaked in 0.1 M NaOH at 95 °C for 6 h. All CCH on the substrate is converted to well-crystalline Co3O4 by the anion-exchange reaction, and the structure changes from ANRAs to ANTAs due to Kirkendall effect. The obtained Co3O4 ANTAs on graphite are used as the electrode material for SCs; a specific capacitance of 1296 F/g is achieved at a charge-discharge current density of 5 mA/cm2, which is much higher than that of the typical Co3O4 ANRAs obtained by annealing the CCH ANRAs (631 F/g). Long-term stability test shows that the specific capacitance of the Co3O4 ANTAs is still stable after 300 cycles of charge-discharge process.
Reference:
[1] Xu, J.; Gao, L.; Cao, J.; Wang, W.; Chen, Z. Electrochim. Acta, 2010, 56, 732.
[2] Fei, Z.; He, S.; Li, L.; Ji, W.; Au, C.-T. Chem. Commun., 2012, 48, 853.
9:00 AM - SS13.49
Millimeter-Tall Carpets of Vertically Aligned Crystalline Carbon Nanotubes Synthesized on Copper Substrates for Electrical Applications
Eti Teblum 1 Malachi Noked 1 Anna Kremen 1 Merav Muallem 1 Yaakov R. Tischler 1 Doron Aurbach 1 Gilbert D. Nessim 1
1Bar-Ilan University Ramat-Gan Israel
Show AbstractMillimeter-tall, dense carpets of vertically aligned carbon nanotubes (VACNTs) grown on metallic substrates are a promising, electrically conductive and mechanically robust framework that can be functionalized for future battery electrodes. However, reproducible synthesis of tall and dense carpets of CNTs on metallic layers is challenging. We demonstrate here the synthesis of millimeter-tall, dense carpets of crystalline CNTs on copper substrates using an ultra-thin Al2O3 (5 to 10 nm) as underlayer and an iron as a catalyst. High resolution microscopy indicated that the CNTs were crystalline and reached lengths up to a millimeter. We used two techniques to prove electrical conduction between the CNTs and the copper substrate. First, successful electrodeposition of Cu from aqueous solution and cyclic voltammetry measurements confirmed electrical conductivity between the CNTs and the copper substrate. Second, electrochemical hydrolysis of water on copper foils with CNTs exhibited much higher current compared to the sample without CNTs. These results prove that electrons can tunnel the ultra-thin, alumina layer, making this system of CNTs on copper a promising platform to be functionalized for future battery electrodes.
9:00 AM - SS13.50
ZnO Nanorods as Antireflective Coatings for Industrial Scale Single Crystalline Silicon Solar Cells
Pantea Aurang 2 3 Olgu Demircioglu 2 3 Firat Es 2 3 Caner Durucan 1 Rasit Turan 2 3 4 Husnu Emrah Unalan 1 2 3
1Middle East Technical University Ankara Turkey2Middle East Technical University Ankara Turkey3Middle East Technical University Ankara Turkey4Middle East Technical University Ankara Turkey
Show AbstractPlanar and textured, industrial scale (156mm × 156mm) single crystalline silicon (Si) solar cells have been fabricated using zinc oxide (ZnO) nanorods as antireflection coating (ARC). Relative improvement in excess of 65 % in the reflectivity was observed for both planar and textured Si surfaces. Through ZnO nanorods, effective lifetime measurements were presented to investigate the surface passivation property of such an ARC layer. ZnO nanorods, increased the effective lifetime from 9 to 71 µs. Increased carrier lifetime revealed the passivation effect of the ZnO nanorods in addition to their ARC property. 33% and 16% enhancement in the photovoltaic conversion efficiency was obtained in planar and textured single crystalline solar cells, respectively. Our results reveal the potential of ZnO nanorods as ARC that can be fabricated through simple solution based methods and the method investigated herein can be simply adapted to industrial scale fabrication [1].
[1] P.Aurang, O. Dericioglu, F. Es, R. Turan, H.E. Unalan, J. Am. Ceram. Soc. 96 (2013) 1253.
9:00 AM - SS13.51
Characterization of Gaas/Algaas Core-Shell Nanowires by Raman and Photoluminescence Spectroscopies
Francesca Amaduzzi 1 Esther Alarcon Llado 1 Yannik Fontana 1 Gozde Tutuncuoglu 1 Federico Matteini 1 Eleonora Russo 1 Daniel Rueffer 1 Anna Fontcuberta i Morral 1
1amp;#201;cole polytechnique famp;#233;damp;#233;rale de Lausanne Lausanne Switzerland
Show AbstractIn recent years, semiconductor nanowires have attracted considerable attention as a result of their unique properties and potential applications in many fields. Among them, radial nanowire heterostructures (or core-shell) have emerged as building blocks for the fabrication of nanoscale photonic and electronic devices such as multiquantum-well (MQW) lasers and LEDs or high electron mobility transistors, due to the possibility of obtaining two dimensional electron gases. [1, 2]
We have recently demonstrated that ternary alloys in nanowires are more challenging than expected and that they are subject to interesting phenomena. In particular, the presence of self-assembled quantum-dots in core shell GaAs/AlGaAs nanowires due to composition variation in radial heterojunctions has been demonstrated. [3]
In this work, we have studied the optical properties of GaAs/AlGaAs core-shell nanowires grown by self-catalyzed molecular beam epitaxy (MBE). The Al composition in the shell was tuned from x=22% to x=75%. Core diameter was kept at 80nm. Different shell thicknesses were grown, from 10 to 100nm. We have investigated the photoluminescence as a function of sample temperature and laser excitation power. We discuss the origin of the power-dependent PL spectrum for the different contents of aluminum in the AlGaAs shell, as well as shell thickness. Finally, areas with different aluminum content are identified through selective excitation of AlGaAs longitudinal optical modes (LO) by tuning the laser excitation energy.
[1] J. Xiang, W. Lu, Y. Hu, Y. Wu, H. Yan, and C. M. Lieber, “Ge/Si nanowire heterostructures as high-performance field-effect transistors”, Nature, 441, 489-493 (2006)
[2] F. Qian, Y. Li, S. Gradecak, D. Wang, C. J. Barrelet, and C. M.Lieber, “Gallium Nitride-Based Nanowire Radial Heterostructures for Nanophotonics”, Nano Letters, 4, 1975-1979 (2004)
[3] M. Heiss, Y. Fontana, A. Gustafsson, G. Wüst, C. Magen, D. D. O&’Regan, J.W. Luo, B. Ketterer, S. Conesa-Boj, A. V. Kuhlmann, J. Houel, E. Russo-Averchi, J. R. Morante, M. Cantoni, N. Marzari, J. Arbiol, A. Zunger, R. J.Warburton and A. Fontcuberta i Morral, “Self-assembled quantum dots in a nanowire system for quantum photonics”, Nature Materials, 12, 439-444 (2013)
9:00 AM - SS13.52
The Suggestion of Hydride Vapor Phase Nucleation as Novel CNT Growth Method
Takahiro Kikuchi 1 Yuki Usuda 1 Hitoshi Sato 3 Tauto Nakanishi 3 Takashi Kato 3 Kyoichi Tomita 3 Hidenori Mimura 2 Takayuki Nakano 1 Yoku Inoue 1
1Shizuoka University Hamamatsu Japan2Shizuoka University Hamamatsu Japan3JNC Tokyo Japan
Show AbstractCarbon nanotubes (CNTs) have been proposed for various applications due to their special mechanical, electrical, and thermal properties. For CNT synthesis, the conventional methods are mainly arc discharge, laser ablation, and chemical vapor deposition (CVD). Recently, chloride mediated CVD (CM-CVD) method using FeCl2 as a catalyst precursor has been developed by our group. By using this method, vertically aligned, dense and highly spinnable CNT arrays can be obtained. The as grown CNT arrays are expected to be used for dry spinning of continuous CNT yarns or sheets for strong composite material. However, in CM-CVD the initial nucleation control is unstable, because the catalyst precursor gases are generated by evaporation of solid FeCl2.We propose a novel HVPN (Hydride Vapor Phase Nucleation) CNT growth method by using chemical reaction of Fe with HCl as iron chloride supply.
In the HVPN method, FeCl2 is produced by a chemical reaction. The synthesis of FeCl2 represent the initial nucleation in the CNT growth part, thereafter CNT growth is performed.FeCl2 is obtained by the reaction of Fe and HCl gas in the catalyst synthesis part. For this reaction, it is possible to supply FeCl2 gas as the source of initial nucleation by HVPN. Moreover, the amount of FeCl2 can be controlled by modifying the flow rate of HCl gas and it&’s possible to control the supply timing of FeCl2. Therefore, HVPN is expected to control the stable initial nucleation in the chloride mediated CVD. In this study, we investigated the possibility to grow CNT by using HVPN method, and we successfully synthesized vertically aligned CNT arrays. The synthesis of CNT array was achieved by optimization of the FeCl2 synthesis condition by controlling the reaction temperature and HCl flow rate. The as grown CNTs show high crystallinity proven by an IG/ID ratio of more than 2 in the Raman spectra. Moreover, we found that the morphology of the CNT arrays depends on the HCl supply condition. In conclusion, we have established a novel and highly controllable CNT growth method by using HVPN.
9:00 AM - SS13.53
Influences of MWCNT Structures on Mechanical and Electrical Properties of Dry-Spun MWCNT Yarn
Kohei Hayashi 1 Okada Morihiro 2 Takayuki Nakano 1 Hidenori Mimura 2 Hitoshi Sato 3 Tauto Nakanishi 3 Takashi Kato 3 Kyoichi Tomita 3 Yoku Inoue 1
1Shizuoka University Hamamatsu Japan2Shizuoka Univeristy Hamamatsu Japan3JNC Tokyo Japan
Show AbstractCarbon nanotubes (CNTs) have been attracting much interest as one of the most promising materials for near future technologies. Because of its remarkable material properties such as lightweight, strong and electrically conductive, large scale CNT structures, including yarns and composites, have been intensively researched. Some of recent reports on CNT yarns, which have very low resistivity, have showed potential usages of CNTs in industries [1, 2]. We have been working on multi-walled CNT yarns [3]. MWCNTs are grown by chloride mediated chemical vapor deposition [4]. Several millimeters long MWCNTs are aligned vertically on a substrate. Since each of the MWCNTs is very straight and areal density is very high, our MWCNT arrays are quite spinnable and so, dry spun yarns were fabricated by twisting MWCNT webs. To study material properties of the yarns, dimensions of MWCNT, length and diameter, were varied. To vary the MWCNT structures, CVD growth conditions were carefully controlled. Tensile property and electrical resistivity were measured. We found that the change in MWCNT structures give significant influences on mechanical properties of yarns. Relationship between MWCNT structures and mechanical and electrical properties of MWCNT yarn is discussed.
[1] Y. Zhao et al., Sci. Rep. 1, 83 (2011). [2] N. Behabtu et al., Science 339, 182 (2013). [3] A. Ghemes et al., Carbon 50, 4579 (2012). [4] Y. Inoue et al., Appl. Phys. Lett. 92, 213113 (2008).
9:00 AM - SS13.54
Impact of Preferential Indium Nucleation on Electrical Conductivity of VLS Grown Indium-Tin-Oxide Nanowires
Gang Meng 1 Takeshi Yanagida 1 Kazuki Nagashima 1 Hideto Yoshida 1 Masaki Kanai 1 Fuwei Zhuge 1 Yong He 1 Annop Klamchuen 1 Sakon Rahong 1 Seiji Takeda 1 Tomoji Kawai 1
1Institute of Science and Industrial Research Osaka Japan
Show AbstractHighly conductive and transparent indium-tin-oxide (ITO) single crystalline nanowires, formed by vapor-liquid-solid (VLS) method, hold great promise for various nanoscale device applications. However, increasing an electrical conductivity of VLS grown ITO nanowires is still a challenging issue due to the intrinsic difficulty in controlling complex material transports of VLS process. Here we demonstrate a crucial role of preferential indium nucleation on the electrical conductivity of VLS grown ITO nanowires with gold catalysts. In spite of the fact that the vapor pressure of tin is lower than that of indium, we found that indium concentration within nanowires was always higher than the nominal composition. The VLS growth of ITO through gold catalysts significantly differs from ITO film formations due to the emergence of preferential indium nucleation only at a liquid-solid interface. Furthermore we demonstrate that the averaged resistivity of ITO nanowires can be decreased down to 2.1×10-4 Omega;cm, which is the lowest as the averaged value, via increasing intentionally tin concentration within nanowires.
Reference: Meng et al. J. Am. Chem. Soc. 135 (2013) 7033minus;7038
9:00 AM - SS13.55
Photovoltaically Self-Charging Cell with Si Nanotube Array/PVDF Counterelectrode for Electrochemical Energy Storage
Xuezhen Huang 1 Xi Zhang 1 Hongrui Jiang 1
1University of Wisconsin-Madison Madison USA
Show AbstractThe structure of counter electrode (CE) in photovoltaically self-charging cell (PSC) based on TiO2-dye photoelectrode and PVDF/inorganic nanomaterials dielectric CE has significant effect on the energy storage capacity.[1] Compared with PVDF dielectric capacitor, electrochemical supercapacitor has higher capacitance values by several orders of magnitude. To enhance the energy storage of PSC, we introduce PVDF/Si nanotube array (NTA) to functionalize the CE of TiO2 dye-sensitized solar cell for energy storage in this work. Si NTA is fabricated by a wet etching process in HCl solution to remove the core of Si-coated ZnO NWA preliminarily through a PECVD process, and characterized by FETEM, FESEM and XRD, etc.[2] It is believed that the counter electrode of Si nanotube/PVDF could provide much higher charge storage due to their porous structure and larger surface area. Furthermore, a layer of ultrathin Au film is used as a quasi-electrode to establish an interface for I-/I3- redox reaction without hindering the interaction between electrolyte and porous interface of Si nanotube/PVDF for energy storage, which enables more compact PSC design in two-electrode model. The photoconversion efficiency and charge storage property of such PSC has been investigated.
1. X. Zhang, X. Huang, C. Li, and H. Jiang, Dye-sensitized solar cell with energy storage function through PVDF/ZnO nanocomposite counter electrode. Adv. Mater. DOI: 10.1002/adma. 201301088.
2. X. Huang, R. Gonzalez-Rodriguez, R. Rich, Z. Gryczynski, and J. L. Coffer, Fabrication and size dependent properties of porous silicon nanotube arrays. Chem. Commun. 2013, 49, 5760-5762.
9:00 AM - SS13.56
Cascading Programmable Nanowire Logic Arrays by Nanocombing for the Realization of a Finite-State Machine
Jun Yao 1 Jun Feng 1 Hao Yan 1 Shamik Das 2 James F Klemic 2 James C. Ellenbogen 2 Charles M. Lieber 1 3
1Harvard University Cambridge USA2The MITRE Corporation McLean USA3Harvard University Cambridge USA
Show AbstractA finite-state machine (FSM) is a fundamental model for clocked, programmable logic circuits similar to that many electronic digital device controllers are based upon. Until now, however, the implementation of an FSM via bottom-up assembly of nanoscale devices has been beyond the state of the art, due to the complexity of the circuits required and associated challenges for assembly, materials, and architecture. Here we show the successful implementation of a FSM built from assembled nanowire arrays that permit programmable logic operations. The FSM incorporates 180 nanowire transistor nodes, more 3-times that achieved previously. The nanowire arrays are organized in 3 coupled programmable tiles, where each tile consists of 2 nanowire crossbar arrays organized for two-level logic (Ref.1). A novel nanowire assembly technique, deterministic nanocombing (Ref. 2), was exploited for the fabrication of the complex 6-array/3-tile structure with high density and yield. The functional complexity of the FSM, which requires the integration of the distinct arithmetic and register functions from the 3 tiles with intra- and inter-tile communication enabled by matched input/output signals, highlights the stringent criterions attained for general cascaded circuits from the bottom up. This design-oriented assembly and fabrication scheme advocates a top-down spirit for electronics from bottom-up materials, demonstrating the potential for even more extensive nanowire-based systems in the future.
References:
1. J. Yao, H. Yan and C. M. Lieber, A nanoscable combing technique for the large-scale assembly of highly aligned nanowires. Nature Nanotechnol. 8, 329-335 (2013).
2. H. Yan, H. S. Choe, S. W. Nam, Y. Hu, S. Das, J. F. Klemic, J. C. Ellenbogen & C. M.Lieber, Programmable nanowire circuits for nanoprocessors. Nature 470, 240-244 (2011).
9:00 AM - SS13.57
Separation and Spectroscopy of Large-Diameter Semiconducting Single-Walled Carbon Nanotubes
Kevin S. Mistry 1 2 Jeffrey L. Blackburn 2
1University of Colorado, Boulder Golden USA2National Renewable Energy Lab Golden USA
Show AbstractSingle-walled carbon nanotubes (SWCNTs) have remarkable electrical and optical properties that may make them highly suitable for commercial applications such as photovoltaics (PV) and field effect transistors (FETs). Current synthesis methods create SWCNTs with semiconducting (s-) as well as metallic (m-) species, but these applications would benefit from highly pure samples of s-SWCNTs. Selective extraction of type-pure s-SWCNTs using fluorene based polymers is a promising and scalable technique, but was previously only successful for certain small-diameter s-SWCNT species. In our recent manuscript, we demonstrated the successful translation of this technique to large-diameter (d > 1.2 nm) s-SWCNTs, which offer specific advantages for better performing FETs and PV.1 Previous attempts at selecting large-diameter s-SWCNTs suffered from poor yields and concentrations, but our technique boosts throughput by several orders of magnitude allowing for solution processed films that can be prepared in minutes and used in a number of devices. Additionally, we demonstrate the ability to both narrow and tune the chirality distribution of s-SWCNTs by both selecting the appropriate polymer and exerting synthetic control over the starting laser vaporization nanotube material to select the desired diameter range. These samples allow us to study electrical and optical properties of large-diameter s-SWCNTs that had earlier been prohibited by poor yields, high polydispersity, or metallic impurities. As an example, we will discuss a spectrally resolved electron transfer study within a sample of large diameter s-SWCNTs containing only a few SWCNT species.
1. K. S. Mistry, B. A. Larsen and J. L. Blackburn, ACS Nano, 2013, 7, 2231-2239.
9:00 AM - SS13.58
Photothermoelectric Effect in Individual Suspended Carbon Nanotubes
Tristan DeBorde 1 Lee Aspitarte 1 Tal Sharf 1 Joshua W. Kevek 2 Ethan D. Minot 1
1Oregon State University Corvallis USA2Cornell University Ithaca USA
Show AbstractCarbon nanotubes are unique one-dimensional materials where reduced screening leads to strong electron-electron interactions compared to conventional bulk materials. Understanding the resulting optoelectronic properties of strongly interacting electron systems is of great importance for future photovoltaic applications. Photocurrent generation in individual carbon nanotube based devices has been explained using the photovoltaic effect, in contrast to graphene based devices which are dominated by the photothermoelectric effect. In this work, we present the first measurements of strong photothermoelectric currents in individual suspended carbon nanotube field-effect transistors. These newly observed features arise due to efficient laser heating of the suspended carbon nanotube. In the field-effect transistor geometry, the direction of the photothermoelectric current opposes the predicted direction of the photovoltaic current. Recognition of these photothermoelectric effects will be necessary to fully utilize low-dimension nanomaterials in next generation photovoltaic devices.
9:00 AM - SS13.60
Highly Efficient Charge Collection in Photoelectrochemical Device Using Three-Dimentional Nanostructured Photoelectrode
Hyun Soo Han 1 Ju Seong Kim 2 Sun Shin 2 In Sun Cho 3 Jun Hong Noh 4 Kug Sun Hong 1 2
1Seoul National University Seoul Republic of Korea2Seoul National University Seoul Republic of Korea3Stanford University Stanford USA4Korea Research Institute of Chemical Technology Daejeon Republic of Korea
Show AbstractA novel nanostructured photoelectrode which is composed of three dimensional transparent conducting oxide (3D-TCO) nanowire arrays and inorganic semiconducting sensitizers has been proposed. The In2O3:Sn nanowire (ITO NW) arrays were grown directly on ITO/glass substrate via vapor transport method (VTM). In order to use as photoelectrode, the TiO2 shell layer with a thickness of ~ 30 nm was deposited conformally on the ITO NW surface via atomic layer deposition (ALD) method to prevent back electron transfer to electrolyte. And then CdS and CdSe sensitizers, the visible light absorber, were coated conformally via successive ion layer deposition (SILD) method (for CdS) chemical bath deposition (CBD) method (for CdSe). This 3D-nanostructured photoelectrode (TiO2 layer coated ITO NW-CdS/CdSe core-shell photoelectrode) showed about nine times higher than flat photoelectrode (TiO2 layer coated ITO film-CdS/CdSe photoelectrode) in photocurrent. Moreover, the 3D-nanostructured photoelectrode showed higher photocurrent compare to the conventional TiO2 nanoparticle (NP) film photoelectrode in spite of fewer amounts of light absorbers (CdS/CdSe). This 3D-nanostructured photoelectrode is superior to the conventional TiO2 NP film photoelectrode in charge collection efficiency due to enhancing the charge transport and suppressing charge recombinations.
9:00 AM - SS13.62
Growth of Hybrid Metal Oxide-Sulfide 1D Nanostructure: A Case Study on WO3-WS2 Core-Shell Nanostructures
Tao Sheng 1 Baobao Cao 2 Haitao Zhang 2
1UNC Charlotte Charlotte USA2UNC Charlotte Charlotte USA
Show AbstractTungsten oxide (WO3) is an important functional material with wide applications in smart devices, batteries, and solar water splitting, etc. Tungsten disulfide (WS2) in few-layer structures exhibits favorable optoelectronic properties, such as room temperature luminescence, intense light absorption, and solar cells. Here we present a systematic study on the oxidization of tungsten powder and controllable synthesis of WO3 nanowires via seeded growth. Optimal conditions for local formation of nanowires on tungsten powder were analyzed by comprehensive consideration of oxygen partial pressure, temperature, and powder dimension. Uniform, high density WO3 nanowires were obtained with desired morphology and independent of substrates. Based on the as-synthesized WO3 nanowires, subsequent surface sulfurization was performed in an environmental-friendly approach forming WO3-WS2 core-shell nanostructures. The core-shell growth mechanism and possible applications in gas sensing, electrocatalysis were discussed. The as-synthesized samples were characterized using scanning electron microscopy, transmission electron microscopy, X-ray diffraction, and other techniques. Optical properties were performed using micro-Raman spectroscopy and UV-Vis measurements.
9:00 AM - SS13.63
Structural Stability of Iron Oxide Nanotubes and an Enhancement of Photo Induced Current Detected in the Complex with Fullerenols
Shunji Bandow 1 Yuki Shiraki 1
1Meijo university Nagoya Japan
Show AbstractIron oxide nanotubes (Fe-ox NTs) were prepared by the sol-gel method using a hydrolysis reaction of iron(III)-nitrate-nonahydrate taken on the surface of self-organized block copolymer (Pluronic F-127) in a 1-propanol which makes stacked layered structures. Temperature of the hydrolysis reactions were controlled in the range between 308 and 333 K and kept for at least 4 days to gel slowly. The gel prepared in this way is slowly dried in an oven at 393 K in order to roll up a layered gel to be a tubule structure. A block copolymer (Pluronic F-127) used is rinsed out by a sonication in EtOH and the sediments, centrifugally separated, were collected. It was found from the transmission electron microscopy that typical size of as-prepared Fe-ox-NTs (as-Fe-ox-NTs) gelled at 308 K was ~10 nm for OD with ~5 nm for ID and ~500 nm for length. Both the tube diameters and length have a tendency to increase as increasing the gelling temperature. Structural analyses were carried out by XRD and SAED. According to the XRD profiles, as-Fe-ox-NTs have a defective nature possibly associated with a complex of FeO and Fe2O3. On the other hand, a heating at 623 K in open air changed the structure to be a well crystallized nanotubes assignable to Fe2O3. Further heating at 673 K was destroyed the nanotube structure. Such morphological change was confirmed by TG-DTA analyses. It was also found by the thermal analyses that a remaining Pluronic F-127 completely burned out at 523 K without changing the crystal structure. Complex of the fullerenols and as-Fe-ox-NTs was prepared by mixing the aqueous solutions of fullerenols and as-Fe-ox-NTs and checked by the electrochemistry. Although the photo enhancement on the current was observed for neither as-Fe-ox-NTs nor fullerenols, a complex of fullerenols/as-Fe-ox-NTs was observed when the visible light was irradiated but not for UV. We explained these phenomena by introducing the band gap scheme based on the Fe-ox-NT and fullerenol. That is, the electron excitation from, the HOMO to LUMO of fullerenol would occur due to a visible light absorption, and then the electron transfer/ or hop to the LUMO of Fe-ox-NT was effectively taken place, whose level probably located slightly above the LUMO of fullerenol, resulting a current enhancement on the visible light irradiation. On the other hand, HOMO to LUMO electron excitation of Fe-ox-NT would occur for UV irradiation, and the excited electrons to the LUMO promptly relaxed to that of fullerenol, resulting insusceptible feature to the UV irradiation.
9:00 AM - SS13.64
Novel Single-Step Route to Core/Shell gamma;-MnS/C Nanowires
Juan Beltran-Huarac 1 2 Gerardo Morell 1 2
1University of Puerto Rico San Juan USA2Institute for Functional Nanomaterials San Juan USA
Show AbstractPhotoluminescent γ-MnS nanowires coated with graphitic carbon were investigated. The novel core-shell hybrid was synthesized via chemical vapor deposition in a facile single-step route. The X-ray patterns showed that both phases co-exist in the hybrid. Neither parasitic phases nor the presence of α-MnS or β-MnS were observed. The high degree of purity was further confirmed by Raman spectroscopy. A drop in the emission band of γ-MnS was observed upon encapsulating the core material with sp2-carbon shell. Scanning and transmission electron microscopy studies revealed that highly crystalline γ-MnS/C nanowires possess diameters between 50 nm to 80 nm and lengths over 10 mu;m. The elemental composition was studied by line-scanned energy-dispersive X-ray spectroscopy in a high-resolution transmission electron microscope. The enhanced electrochemical properties of γ-MnS/C nanowires will be also presented.
9:00 AM - SS13.65
A Simplex Dye-sensitized Solar Cell with Energy Storage Function by Modifying Counter Electrode with PVDF/ZnO Nanocomposite
Xi Zhang 1 Xuezhen Huang 1 Hongrui Jiang 1
1University of Wisconsin-Madison Madison USA
Show AbstractWe fabricated a simplex photovoltaically self-charging cell (PSC) with dual-functions of photocurrent output and energy storage under illumination via introducing a polyvinylidene fluoride (PVDF)/ZnO nanowire array into the counter electrode (CE) of a dye-sensitized solar cell (DSSC). When the two-electrode cell operates, part of the photocurrent is fed to the external circuit, while the rest of the photocurrent charges the CE. Unlike previously reported photocapacitors, our PSC could still function as an ordinary solar cell with steady photocurrent output even after being fully charged via a quasi-electrode consisting of Au/Pt conductive layer attached on the surface of PVDF. The energy storage capability is attributed to the dielectric PVDF film. A cell with 25-nm-thick PVDF consistently achieves up to 2.14 C/g of energy storage density, and simultaneously maintains a 3.70% photo-to-electric conversion efficiency. Such cells have a great potential for applications in low-power consumption devices, such as sensor networks, which need to operate all day, continuously and unattended.
9:00 AM - SS13.67
Growth and Optical Properties of Indium Monoselenide Nanostructures
R. Fesperman 1 Marvin Wu 1
1North Carolina Central University Durham USA
Show AbstractIndium monoselenide (InSe) is a layered structured material with a bandgap (~1.3 eV) in the near infrared region and high charge mobility, and has thus attracted interest in photovoltaic and photodetector applications. InSe nanowires offer the possibility of expanding upon these attributes with high surface to volume ratios, light trapping ability, and lower growth costs. We report here on the growth and characterization of InSe nanowires with varying morphologies. Nanostructures were grown in a tube furnace using thermal evaporation of pure In2Se3 powder, and silicon substrates coated with Au nanoparticle catalysts. Nanostructure morphologies varied with the growth conditions, with oriented columns (~ 200 - 300 nm diameters), narrow nanowires (diameters < 100 nm) and dendritic structures observed at different substrate temperatures. Single nanostructure Raman spectroscopy and electron backscattered diffraction measurements revealed that nanostructures are largely gamma (rhombohedral) phase. Nanostructures exhibit strong band edge photoluminescence in the near infrared. Broadband ultrafast transient absorption measurements of individual nanostructures all show strong bleaches at the bandgap energy, with lifetimes in the picosecond range, which are attributed to state filling effects. High spatial resolution ( < 0.5 microh nanostructure diameter at the measurement site, likely indicating that rapid trapping of carriers at surface states is responsible for the rapid decay. Combining these measurements with electron backscattered diffraction data indicates that stacking faults within a single nanostructure also lead to decreasing bleach lifetimes.
9:00 AM - SS13.68
Structural Variation in Bimetallic Transition Metal Nanowires - Core-Shell versus Alloy Structures as a Function of Membrane Pore Diameter
Jagnyseni Tripathy 1 2 Shankar Khanal 1 3 Jose Marcelo Vargas 1 3 Leonard Spinu 1 3 John B. Wiley 1 2
1University of New Orleans New Orleans USA2University of New Orleans New Orleans USA3University of New Orleans New Orleans USA
Show AbstractTemplate assisted electrodeposition was used to fabricate a series of bimetallic nanowires with either core-shell or alloyed structures. In this study high quality anodized alumina oxide (AAO) membranes were used as templates. By simply changing the AAO pore diameter, for syntheses under same reaction conditions and electrolyte composition, the different structures could be obtained. Templates with pore diameters greater ge; 100 nm formed core-shell structures, while those with pores < 100 nm produced alloyed nanowires. For the core-shell structures, the shell thickness was found to vary according the applied current irrespective of increase in pore diameter. This in turn influenced the magnetic properties of the nanowires where greater shell thicknesses typically produced a reduction in coercivity. Details on the systematic studies of these materials will be presented in terms fabrication and magnetic characterization and the origins of these behaviors will be discussed.
9:00 AM - SS13.69
Carbon Nanotube Growth at High-Aspect Ultrafine via Holes and Its Electrical Characterization
Yuichi Yamazaki 1 Takashi Matsumoto 1 Tatsuro Saito 1 Makoto Wada 1 Zhang Li 1 Masayuki Kitamura 1 Masayuki Katagiri 1 Naoshi Sakuma 1 Atsunobu Isobayashi 1 Mariko Suzuki 1 Atsuko Sakata 1 Akihiro Kajita 1 Tadashi Sakai 1
1LEAP Kawasaki Japan
Show AbstractThree-dimentinal semiconductor devices, such as BiCS[1], require an ultrafine, less than several tens nm in diameter, high-aspect (AR) vertical interconnect technique. Metal interconnect, Cu, W, is not applicable to the devices because resistivity of metal increases by increase of electron scattering. Carbon nanotube (CNT) has superior properties such as high current capability and ballistic conductivity so that is a promising material for ultrafine interconnect[2]. In this work, we demonstrated CNT growth at high AR, more than 10, ultrafine via holes, where the finest via diameter was 90 nm. Furthermore, electrical characterization of the via holes was performed.
Ni and TiN/Ti layers were used as catalytic layer and underlayer, respectively. Firstly, the underlayer, was deposited on whole area of a SiO2/Si substrate. After via structure was fabricated on the underlayer, the catalytic layer was prepared by chemical vapor deposition (CVD) which can form metal thin film in whole area of high AR via holes. This procedure provides Ni/TiN/Ti/SiO2 on bottom of via holes and Ni/SiO2 on surface and side wall of via holes, which leads to a selective CNT growth from bottom of via holes. CNT growth was carried out by a multi-step method using pulse-excited plasma CVD[3]. Growth temperature was set to 600 C. Secondary electron microscope (SEM) observation of CNTs in via holes and electrical characterization of a CNT via structure using conductive atomic force microscope (C-AFM) were conducted.
Cross-sectional SEM images revealed that CNTs were grown from via bottom to via top in the case of AR of 12 (100 nm in diameter). Changing AR from 3 to 12, stronger plasma pretreatments were needed to grow CNTs in higher AR via holes. In addition, via holes with smaller diameter required stronger plasma pretreatments. In contrast, stronger plasma leads to poor CNT growth for lower AR via holes as well as larger-diameter via holes. These results indicate that plasma pretreatments optimized for AR and/or via diameter are indispensable to CNT growth at high AR ultrafine via holes. Based on these findings, we achieved CNT growth even in the case of AR of 19 (90 nm in diameter) by using stronger plasma generated by microwave plasma CVD. C-AFM measurement found that CNTs grown into via holes gave rise to continuous conduction between TiN/Ti underlayer and Ti contact layer to tip of CNTs.
Acknowledgements
This work was performed as ”Ultra-Low Voltage Device Project” funded and supported by the Ministry of Economy, Trade and Industry (METI) and the New Energy and Industrial Technology Development Organization (NEDO).
References
[1]H. Aochi et al. Toshiba-review vol.66 (2011) 16.
[2]Y. Awano et al. Proc. IEEE 98 (2011) 2015.
[3]Y. Yamazaki et al. APEX 3 (2010) 055002.
9:00 AM - SS13.70
Formation of ITO Nanorods and Nanowires Following Growth of ITO Film Using Conventional Magnetron Sputtering
Naoki Yamamoto 1 Kirihiko Morisawa 1 Yasuhiro Nakatani 2 Akihito Kubo 2
1Kochi University of Technology Kami-shi Japan2Sekisui Chemical Co., Ltd. Shimamoto-cho Japan
Show AbstractRecently, nanorods (NRs) or nanowires (NWs) made of ZnO or TiO2 have been attracting significant attention as transparent electrodes for electronic devices, such as in solar cells, LEDs, and various sensors. These NRs and NWs were formed on the transparent conductive oxide (TCO) layers made of different materials such as ITO or FTO. This has a disadvantage from the viewpoint of low-cost processing, because it requires that different materials and processing systems, from those for TCO electrode fabrication, are required to grow NRs or NWs on the TCO layers. In this work, NRs or NWs made of ITO were grown using a conventional magnetron sputtering system. Furthermore, an innovative technique for forming ITO NRs or NWs without interruption after forming the ITO films used for the top electrodes of electronic devices on substrates was developed. A conventional planar magnetron sputtering system employing DC, RF (radio frequency), or DC combined with RF sputtering power was used. Sputtering targets (source) made from In2O3, SnO2 and ITO with a SnO2 content from 3.0 to 50 wt.% were prepared. Sputtering was carried out in a sputtering gas atmosphere of 0.1-1.0 Pa at a substrate temperature of room temperature-350 °C with 50-400 W sputtering power. The NRs grew at substrate temperatures higher than ca. 150 °C by successive sputtering after forming an ITO film with a thickness of 30-100 nm. NWs with lengths of 1-15 mu;m were formed at 200-350 °C. Their diameters varied from ca. 20-150 nm. The diameters, lengths and densities of the NRs and NWs could be controlled by the sputtering (forming) time, substrate temperature, and SnO2 content in the ITO target. It was confirmed, using a combination of energy dispersive X-ray spectroscopy and scanning electron microscopy, that the NRs and NWs consisted of ITO. Our approach has the advantage that these structures can be realized using a conventional sputtering system and on any substrate, for example, polymer layers such as polyethylene terephthalate (PET) or polyethylene naphthalate(PEN).
9:00 AM - SS13.71
Synthesis and Characterization of Functionalized Silver Nanocrystals through Polyol Process Using Poly(vinyl pyrrolidone-ran-vinyl acetate) as a Surface Regulating Copolymer
Park Mira 1 2 Chung Im Sik 2 Song Hyunjoon 1
1Korea Advanced Institute of Science and Technology (KAIST) Daejeon Republic of Korea2Korea Research Institute of Bioscience and Biotechnology (KRIBB) Daejeon Republic of Korea
Show AbstractMany researchers have used poly(vinyl pyrrolidone) (PVP) for synthesizing metal nanocrystals. Beceause it is believed that tertiary amide groups in PVP are preferentially bound to specific facets of the noble metals and guide the crystal growth direction. [1,2] Also, it is reported that synthesis of gold nanocrystals using polymer including poly(vinyl acetate) (PVAc) generates multiple hydroxyl groups on the surface, which can readily conjugate with versatile functionalities [3].
In the preceding work, we have synthesized silver nanocrystals through the polyol process in the presence of poly(vinyl pyrrolidone-ran-vinyl acetate) (PVP-PVAc). The copolymer, PVP-PVAc, has two distinct moieties including pyrrolidone for nanoparticle formation and acetate for additional functionalization. We have used PVP-PVAc as a surface regulating copolymer for the synthesis of silver nanocrystals and we observed that the shape of silver nanocrystals changes from cubes to wires as increasing reaction temperature.
It is anticipated that the choice of well-designed copolymers would be a general methodology for the functionalized metal nanocrystals and serve as a new platform for various applications.
9:00 AM - SS13.72
High-Strength Composite Yarns Derived from Oxygen Plasma Modified Super-Aligned Carbon Nanotube Arrays
Haoming Wei 1 Yang Wei 1 Yang Wu 1 Liang Liu 1 Kaili Jiang 1 Shoushan Fan 1
1Tsinghua-Foxconn Nanotechnology Research Cener Beijing China
Show AbstractSpinning carbon nanotube (CNT) yarns from super-aligned carbon nanotube (SACNT) arrays is a promising approach to fabricate high-strength fibers. However the reported tensile strengths of the as-prepared fibers are far below that of an individual CNT. It is therefore still a challenge to improve their mechanical strengths. Here we report that the tensile strengths and Young&’s moduli can be further improved to 2.2 GPa and 200 GPa respectively, if we first treat the SACNT array with oxygen plasma by using a reactive ion etching (RIE) facility, then dry spin yarns from it and make composite fibers with polyvinyl alcohol. According to the experimental results obtained using scanning electron microscopy (SEM), Raman spectroscopy and X-ray photoelectron spectroscopy (XPS), the improvement is attributed to the oxygen RIE process, as it can create functional groups on the outer walls of CNTs and thus improve the interaction between the CNTs and the polymer molecules.
9:00 AM - SS13.73
Crystallization and Melting Behavior of a beta;-Nucleated Polypropylene Random Copolymer Matrix Filled with Multi-Walled Carbon Nanotubes
Dimitrios Georgios Papageorgiou 1 George Z. Papageorgiou 2 Eleftheria Roumeli 1 Dimitrios N. Bikiaris 2 Konstantinos Chrissafis 1
1Aristotle University of Thessaloniki Thessaloniki Greece2Aristotle University of Thessaloniki Thessaloniki Greece
Show AbstractThe melting and crystallization behavior of a propylene-ethylene random copolymer containing multi walled carbon nanotubes has been investigated, focusing on the evaluation of the effects of MWCNTs on the crystalline structure of the polymer nanocomposite. The polymer nanocomposites have been prepared with the melt-mixing method, in a twin screw extruder and the MWCNTs content was 0.5, 1, 2.5, 3.5, 5 wt.%. During the melting study, different heating and cooling rates were used for the analysis of the behavior of the two crystalline phases of the neat polymeric matrix and the sample with the highest MWCNTs content (5 wt.%). The Step-Scan DSC technique, which enables the determination of the different contributions from the reversing and non-reversing signals, was applied in order to confirm the existence of βα-recrystallization or β to α transition. Also, during the isothermal and non-isothermal crystallization of the two polypropylene random copolymers, the crystallization curves were recorded for multiple crystallization temperatures from 100 to 125oC and cooling rates from 20oC/min to 2,5oC/min. The melting of the samples was studied after the isothermal crystallization and it was obvious that the growth of crystals was significantly affected by the crystallization temperature. The effect of MWCNTs has been profound on the variation of the crystallization peak temperatures and for the estimation of the nucleation activity of the filler, Dobreva&’s method was applied. Finally, the effective activation energy of each nanocomposite during non-isothermal crystallization was calculated according to the isoconversional method proposed by Friedman. The results of this work provide comprehensive information regarding the nucleation activity of MWCNTs and their final effect on the stability of the different polymorphs in the nanocomposite samples.
9:00 AM - SS13.74
Zno Nws Schottky Contact Array Device:Gigantic Enhancement in Signal Current Output and Gas Sensitivity at Room Temperature
Jun-Han Song 1 Jhih-Kai Hsu 1 Ping-Hung Yeh 1
1Tamkang University New Taipei City Taiwan
Show AbstractWe have demonstrated the ZnO NWs schottky contact array device (ZNSCAD) has milli-Ampere current output and room temperature gas sensing ability. In this work, signal output and sensing ability can be gigantic improved by using point contacts schottky contact (POSC) and connecting the schottky contacts in parallel. The schottky contact interface would be heated by Joule heating, because both current density and the contact resistance of POSC are high. So the POSC device can detect the CO gas at room temperature. The signal output of POSC can be enhanced by connecting the POSC devices in parallel. ZNSCAD would be the device, which has high detection ability, high signal output and room temperature gas detection.
KEYWORDS : ZnO NWs array, Schottky contact device, point contact .
9:00 AM - SS13.75
A Metal Slit for Enhancing Optical Antenna Effect in a Single Nanowire Photovoltaic Device
Kyung-Deok Song 1 Sun-Kyung Kim 2 Hong-Gyu Park 1
1Korea University Seoul Republic of Korea2Kyung Hee University Suwon Republic of Korea
Show AbstractStrong light confinement in nanowires has enabled advances in diverse photonic applications from nanolasers to photovoltaic devices. In particular, low-cost and efficient photovoltaics can be demonstrated using a single nanowire because highly localized cavity modes and large absorption cross-section in a nanowire enhance light absorption despite the small nanowire diameter. Further enhancement of light absorption can be achieved by maximizing optical antenna effect: large absorption cross-section of a nanowire compared to its physical cross-section. In this work, we introduce a metal slit for further enhancing optical antenna effect in a single nanowire photovoltaic device. Since the injected light is funneled through a metal slit, optical antenna effect will be enhanced and light absorption can be increased significantly. To fabricate this nanowire photovoltaic device, we first dispersed core/shell p-type/intrinsic/n-type silicon nanowires onto Si3N4/SiO2/Si substrate. Gold slits were fabricated through electron-beam lithography and metal deposition. The height of the slit is the same as the nanowire diameter, and the width of the slit is changed from 1.1x to 3.7x of the nanowire diameter. We note that the metal slit functions as an electrode contact and thus, a single nanowire device with a metal slit can be fabricated without additional fabrication process. Then, we performed finite-difference time-domain simulation to calculate absorption properties in the nanowire device. Simulation shows that broadband absorption enhancement factors were calculated to be 1.1 and 1.5 in the transverse-electric (the electric field is perpendicular to the nanowire) and the transverse-magnetic (the electric field is parallel to the nanowire) polarizations, respectively, compared to a reference nanowire device without metal slit. Our preliminary experimental results agreed with the simulation results. Deep understanding of light absorption in a nanowire with designed metal slit will be useful for demonstration of efficient single nanowire photovoltaic devices as well as novel nanophotonic elements.
9:00 AM - SS13.76
Hybrid Materials Formed from Coronene and Carbon Nanostructures
Katalin Kamaras 1 Bea Botka 2 Melinda-Emese Fustos 3 Hajnalka M. Tohati 1 Gyongyi Klupp 1 Rudi Hackl 2 Thomas W. Chamberlain 4 Andrei N. Khlobystov 4
1Wigner Research Centre for Physics Budapest Hungary2Walther-Meissner-Institute, Bavarian Academy of Sciences and Humanities Garching Germany3Babes-Bolyai University Cluj-Napoca Romania4University of Nottingham Nottingham United Kingdom
Show AbstractCoronene, a disk-shaped polyaromatic hydrocarbon, can enter into various forms of interaction with carbon surfaces and the inside of carbon cages. Depending on the curvature and cavity size of the nanostructure involved, the hybrid structures can contain coronene molecules either inside the cavity or adsorbed on the surface. In the former case, stacks held together by π-π interaction or "ribbon-like" flat structures were reported. The situtation is complicated by the tendency of coronene to polymerize at higher temperature.
We will report the results of an extensive study of hybrid materials formed by coronene and several carbon nanostructures: single-walled carbon nanotubes of different diameter, multiwalled nanotubes and graphite. Adsorption of coronene, predominantly in the dimeric form, dicoronylene, is observed in most hybrids. The presence of dicoronylene is proved by Raman and photoluminescence measurements. We find that the green luminescence, attributed previously to coronene stacks inside nanotubes, originates from this adsorbed dicoronylene dissolved by the surfactant solutions used to suspend carbon nanotubes.
Almost perfect stacks inside carbon nanotubes can be formed when the reaction is conducted at low temperature (by nanoextraction from supercritical carbon dioxide at 50 °C). Further treatment of these hybrids by annealing or electron irradiation results in the transformation of coronene molecules into an inner nanotube. This transformation was followed by transmission electron microscopy and Raman spectroscopy.
9:00 AM - SS13.77
Nano Heterojunction (Ge/SnO2) for Broadband Light Detection
Ching-Han Hsu 1 Ping-Hung Yeh 1
1Tamkang University New Taipei City Taiwan
Show AbstractBroadband light (from UV to visible light) detection can be achieved by using Ge/ SnO2 NWs heterojunction. The detection ability of this nano hetrojunction photodetector was studied at different environments, such as oxygen, nitrogen, carbon monoxide, vacuum, and atmosphere. The response and recover time are both less than 1 s at different environments or different wavelength illuminations. The interface between the Ge/ SnO2 hetrojunction is quite sensitive to the environment; this nano hetrojunction interface engineering should be clarified, for advanced application.
9:00 AM - SS13.79
Surface Density of Polymerization Initiator Determining Surface-Assisted Unidirectional Orientation of Polymer-Grafted Inorganic Semiconductor Nanorods with Nematic Liquid Crystalline Molecules
Shoichi Kubo 1 Rei Taguchi 1 Masaru Nakagawa 1
1Tohoku University Sendai Japan
Show AbstractInorganic semiconductor nanorods are considered promising materials to fabricate optical and electrical nanoscale devices. Unidirecitonally aligned structures of individual nanorods on a solid substrate are indispensable to draw effectively their fascinating intrinsic properties. We designed hybrid materials comprising inorganic semiconductor nanorods and nematic liquid crystals based on the strategy for attaining unidirectional nanorod orientation by surface-assisted hierarchical molecular ordering. Average 10-nm diameter and 50-nm long ZnO nanorods were synthesized at a gram scale from zinc acetate. The nanorod surfaces were modified with a capping agent, followed by polymerization initiator moieties for atom transfer radical polymerization (ATRP). The stepwise reactions allowed the precise tuning of the surface density of ATRP initiator moieties (dI) in the range of 0.3 - 2.0 nm-2. Nematic liquid crystalline (LC) methacrylate polymers were grown from the initiator moieties by ATRP to give nematic LC polymer-grafted ZnO nanorods. The nematic LC polymer-grafted nanorods were spin-coated together with a small nematic liquid crystal on a rubbed polyimide alignment layer and annealed under ambient atmosphere. The unidirectional orientation of nanorods was attained when nematic LC polymers were grafted on nanorod surfaces moderately, not densely nor loosely. The results suggested that small nematic LC molecules caused cooperative molecular interaction with side-chain mesogens of the nematic LC polymer-grafted nanorods with a moderate grafting density and induced unidirectional orientation of nanorods on a rubbed alignment layer. It was also revealed that the polymers tethered to nanorods had to show nematic LC phase. The unidirectional nanorod orientation was not induced using smectic LC polymer-grafted nanorods and amorphous polymer-grafted nanorods. We also proved the cooperative molecular interaction of small nematic LC molecules and nematic LC polymer-grafted nanorods by differential scanning calorimetry (DSC) analysis. As a result, the unidirectional orientation of monolayered and dispersed inorganic nanorods could be achieved by a bottom-up nanotechnology using a conventional LC alignment technique.
9:00 AM - SS13.80
In-Situ Environmental TEM Observation of Formation of Defects in Growing Carbon Nanotubes
Hideto Yoshida 1 Seiji Takeda 1
1Osaka University Osaka Japan
Show AbstractCarbon nanotubes (CNTs) show the extraordinary electronic and mechanical properties depending on their atomic structure. As well as the diameter and chirality, defects affect the properties of CNTs. CNTs generally have defects, such as vacancies, pentagon-heptagon pairs, bend, irregular interlayer spacing, change of diameter. For applications of CNTs in future nanodevices, it is a key issue to establish the growth method of defect-free CNTs since defect-free CNTs exhibit ideal electronic and mechanical properties. In contrast, the electronic and mechanical properties of CNTs can be tuned by intentionally introducing defects into CNTs. Regardless of whether defects in CNTs are utilized positively or not, it is required to have a deep understanding of the formation mechanism of defects in CNTs.
Recent environmental transmission electron microscopy (ETEM) observations of catalytic chemical vapor deposition growth of CNTs have provided us with knowledge of the growth mechanism. We have clarified that CNTs grow from structurally fluctuating iron carbide (Fe3C) and iron molybdenum carbide (Fe,Mo) 23C6 nanoparticles [1-3]. However, studies on the formation of defects in growing CNTs are limited. In this study, we show atomic-scale in-situ ETEM observations of the formation of defects in growing CNTs, such as bends, irregular interlayer spacing, change of diameter, and change of the number of graphitic layers. Based on the ETEM observations, we will propose the formation mechanism of defects in CNTs during the growth.
[1] H. Yoshida, S. Takeda, T. Uchiyama, H. Kohno, Y. Homma, Nano Lett., 8, 2082 (2008).
[2] H. Yoshida, T. Shimizu, T. Uchiyama, H. Kohno, Y. Homma, S. Takeda, Nano Lett. , 9, 3810 (2009).
[3] H. Yoshida, H. Kohno, S. Takeda, Micron, 43, 1176 (2012).
9:00 AM - SS13.81
Label-Free Ultrasensitive Colorimetric Detection of Copper Ions by Using Polyaniline/Polyamide-6 Nano-Fiber/Net Sensor Strips
Yang Si 1 Bin Ding 1
1College of Materials Science and Engineering, Donghua University, Shanghai 201620, China Shanghai China
Show AbstractA novel, ultrasensitive, selective and flexible sensor strip based on polyaniline/polyamide-6 (PANI/PA-6) nano-fiber/net (NFN) membranes for naked-eye colorimetric detection of Cu2+ ions in water is successfully prepared by a facile electro-spinning/netting (ESN) process. The sensing mechanism involves the transformations between different oxidation and doping forms of PANI. Upon exposure to Cu2+ aqueous solution, the sensors exhibit two significant reflectance intensity decreasing bands at 435 and 650 nm which induce the color changes from white to blue dramatically. This new sensor shows colorimetric response specifically to Cu2+ ions (white-to-blue color change) over other possible interfering metal cations and allows for detection of Cu2+ in aqueous solution with a low detection limit of 1 ppb observing by naked eye. Additionally, the colorimetric responses are visualized quantitative by using a color-differentiation map prepared form converted RGB (red, green and blue) values. Furthermore, the as-prepared PANI/PA-6 NFN sensor strips could successfully combine with the color map, which suggested a promising analytical method as an economical alternative to traditional Cu2+ sensors and also provided a new insight into the design and development of novel colorimetric sensing system based on the NFN platform.
9:00 AM - SS13.82
Fabrication of Silica Nanotubes Using Multi-Walled Carbon Nanotubes as Template
Wattanachai Yaowarat 1 Panuphong Pootawang 1 2 Nagahiro Saito 1 2 3
1Graduate school of Engineering, Nagoya University Nagoya Japan2Green Mobility Collaborative Research Center, Nagoya University Nagoya Japan3EcoTopia Science Institute, Nagoya University Nagoya Japan
Show AbstractRecently, silica nanotubes are of interest because they are useful for the advancement of nanoscale sensors and electric devices as well as optical, magnetic, and catalytic applications. Several methods have been explored to fabricate the silica coated carbon nanotubes (CNTs) composites such as synthesis of silica on noncovalently or covalently functionalized CNTs and direct coupling reaction with previously modified silica particles and CNTs. The noncovalent methods using surfactants can efficiently disperse or solubilize CNTs into water, especially without severely destroying CNTs structures. Nevertheless, in the majority of previous studies in this field, it seems that the choice of the CNTs and surfactant concentrations is based on trial and error. In this study, silica nanotubes are fabricated by noncovalently functionalized multi-walled carbon nanotubes (MWCNTs) with cationic surfactant (CTAB) has been successfully used to disperse MWCNTs in water. MWCNTs are first dispersed in CTAB aqueous solution with different concentrations from 1 mM to 50 mM under ultrasonication. The charge potential of MWCNTs is changed from negative to positive after solvation of CTAB on the surface and the positive potential tends to be increased as increasing the used CTAB concentration. The silica nanotubes are obtained by the sol-gel reaction of silica precursor, tetraethylorthosilicate (TEOS), on the as-prepared MWCNTs dispersion. The collected samples are washed and calcined in air to form the white powder of silica nanotube. The nanotube morphologies of silica nonotube synthesized using various CTAB concentrations are observed by TEM. The X-ray diffraction measurement is carried out to understand the nanostructure of silica nanotube. In addition, the structural parameters including surface area, pore diameter, and pore volume are further determined via N2 adsorption-desorption measurement.
9:00 AM - SS13.83
Zno Nanowires Film as a Template to Produce Superhydrophobic Surfaces by Laser Micromachining
Cleber Mendonca 1 Marcos Cardoso 1 Renato Martins 1 A. Dev 2 Tobias Voss 2
1IFSC-USP Sao Carlos Brazil2University of Bremen Bremen Germany
Show AbstractThe wettability of a surface depends on its chemical nature as well as on its topology on the micro- and nanometer scale [1]. Therefore, in the last few years effort has been made on strategies to structure a materials surface aiming at designing superhydrophobic surfaces (exhibiting a contact angle with water larger than 150°) [2,3]. In this work, we demonstrate the use of stamping and subsequent laser micromachining to produce superhydrophobic polymer surfaces. The stamping relies on the transfer of patterns from the relief features of a template to a polydimethylsiloxane (PDMS) film. We have used zinc oxide (ZnO) nanowire films as nanostructured templates for stamping PDMS, resulting in a nanostructured PDMS surface. Afterwards, the sample is micromachined using 150-fs laser pulses at 780 nm and 1 kHz repetition rate from a Ti:Sapphire laser amplifier, to produce periodic surface microstructures (square-shaped pillars) with different features sizes. The process consists of focusing the laser beam onto the sample surface using a microscope objective, while the sample is placed on a computer controlled three-axis stage. The stage is translated with respect to the laser beam to create continuous structures on the surface of the sample. To evaluate the hydrophobicity of the produced samples, we measured the contact angle (CA) with water on samples with distinct microstructured patterns. We observed a CA of 120 degrees for the PDMS surface without nanostructures, while for the nanostructured PDMS sample a value of approximately 130 degrees was observed. On the other hand, for the nanostructured PDMS samples micromachined by fs-laser pulses we obtained a CA of about 170 degress, one of the highest values ever reported for an engineered surface. The results presented here indicate the huge potential of ZnO nanowire films as templates for stamping polymeric surfaces for subsequent laser processing, aiming at obtaining surfaces with controllable hydrophobicity. The authors acknowledge FAPESP, CNPq, CAPES from Brazil and the Air Force Office of Scientific Research (FA9550-07-1-0374) for financial support and Andre L. S. Romero for technical assistance.
References
[1] W. Li, A. Amirfazli, Microtextured superhydrophobic surfaces: a thennodynarnic analysis, Adv. Colloid Interface Sci. 132 (2007) 51-68.
[2] M.R. Cardoso, V. Tribuzi, D.T. Balogh, L. Misoguti, C.R. Mendonca, Laser microstructuring of azopolymers via surface relief gratings: controlling hydrophobicity, J. Optoelectron. Adv. Mater. 12 (2010) 745-748.
[3] T. Baldacchini, J.E. Carey, M. Zhou, E. Mazur, Superhydrophobic surfaces prepared by microstructuring of silicon using a femtosecond laser, Langmuir 22(2006) 4917-4919.
9:00 AM - SS13.85
Confined CVD Growth of Silicon Nanowires Array in Highly Organized Porous Alumina Template Made on <100> Silicon Substrate
Therese Gorisse 1 2 Ludovic Dupre 1 Pascal Gentile 1 Marc Zelsmann 2 Denis Buttard 3
1CEA Grenoble Grenoble France2CNRS/ UJF-Grenoble1/ CEA LTM Grenoble France3Universitamp;#233; Joseph Fourier/ IUT-1 Grenoble France
Show AbstractIn this study, directed self-assembly approach was used to create highly dense and organized nanostructures. Indeed, flawless hexagonal porous anodic alumina arrays (PAA) were successfully used as a template for the epitaxial Silicon (Si) nanowires (NW) growth in a chemical vapor deposition reactor (CVD).
We present an innovative route using Thermal NanoImprint Lithography previous to aluminum anodization to prepare perfect hexagonal nanopore array on large surface (4 cm2). All the geometrical characteristics of the flawless porous membrane can be adjusted by varying experimental parameters [1]. The PAA is used as templates for the self-organized Si NW growth in a CVD reactor using the vapor liquid solid process. Hexagonal nanowire arrays grown perpendicularly to <100> silicon substrates were successfully produced. The different process steps from the catalyst deposition to the planarization of the array [2] will be presented.
The quality of the final silicon array is discussed. Densities up to 9*109 NW.cm-2 and diameter homogeneity better than colloidal growth are achieved. Energy Dispersive X-ray analyses of the chemical composition confirms the nanowires are in silicon. Furthermore, the crystalline orientation of the nanowires was studied using Scanning transmission electron microscopy and X-ray diffraction in a synchrotron facility. The results show a mixed growth of <100> and <111> orientation for the nanowires [3]. Further investigations are under progress to measure the conductivity between the top of the vertical nanowire and the substrate using the conductive atomic force microscopy.
Acknowledgement: The authors acknowledge the financial support from the Rhocirc;ne-Alpes Council.
[1] D. Buttard, L. Dupré, T. Bernardin, M. Zelsmann, D. Peyrade, P. Gentile, Phys. Stat. Solidi, 8 (2011) 812-815.
[2] Dupré L, Gorisse T, Letrouit Lebranchu A, Bernardin T, Gentile P, Renevier H, Buttard D, Nanoscale Research Letters 8 (2013) 123-130.
[3] T Gorisse, L Dupré, P Gentile, M Martin, M Zelsmann, D Buttard, Nanoscale Research Letter 8 (2013) 287-296.
9:00 AM - SS13.86
DFT Study of Linear Carbon Chain Inside of Zigzag Carbon Nanotubes
Jorge Alejandro Tapia 1 Cesar Cab 1 Gabriel Canto 2
1Universidad Autonoma de Yucatan Merida Mexico2Universidad Autonoma de Campeche Campeche Mexico
Show AbstractBy means of density functional theory (DFT), the structural and electronic properties of a linear carbon chain (LCC) inside of semiconducting zigzag single-walled carbon nanotubes (SWCNTs) were studied. We found that, despite the fact that both LCC and SWCNT nanomaterials have a band gap, the LCC@SWCNT properties shown a global metallic character. This metallic behaviour always has electronic states contribution from the LCCs. For diameters lower than 6 Å, the electronic states at the Fermi level from the nanotubes are principally due to the structural stress, while charge transference plays a main role for diameters bigger than 7 Å. A particular condition between charge transfer and the structural geometry was found in the LCC@(8,0) system, which induced a different electronic character between subsystems.
9:00 AM - SS13.87
High-Throughput Electrospinning for Ceramic Nanowires
Shantanu Sood 1 Perena Gouma 1
1Stony Brook University Stony Brook USA
Show AbstractA scaled up approach to electrospinning has been demonstrated and is described in this work. A cylindrical collector and disk shaped hollow source is used in the set up. The disk contains 23 equidistant holes where polymer droplet form and is stretched due to high voltage difference between the collector and source. Earlier work has demonstrated a yield improvement of orders of magnitude higher as compared to the traditional set up for the PVP and CA nanofiber model systems without compromising the morphology. Varying the polymer concentration and encapsulating metal oxides, ceramic high throughput nanowires of orthorhombic molybdenum trioxide are formed. Variation in the hole diameter and working distance are the main parameters which need to be varied in order to get higher yields of ceramic nanowires.
9:00 AM - SS13.88
Nanogap Electrodes for Molecular Electronics
Parisa Pourhossein Aghbolagh 1 Ryan C. Chiechi 1
1Stratingh Institute for Chemistry, and Zernike Institute for Advanced Materials, University of Groningen Groningen Netherlands
Show AbstractThere are several methods of fabricating nanogaps with controlled spacing but the precise control over the sub-nanometer spacing between two electrodes and generating them in numbers is still challenging. Nanoskiving - an emerging technology based on edge lithography[1]- was used to fabricate SAM-templated addressable nanogaps (STANs) electrodes with aspect ratios that are not accessible by other methods (> 1000 : 1). Our experimentally simple and fast method enables the production of nanostructures at the rate of about one per second, with control over all three dimensions. It does not require any photo- or electron-beam lithographic steps and the nanofabrication process takes place entirely on the bench top, outside of a clean room; it is entirely mechanical. Due to their high aspect ratio, the STAN electrodes can be wired up and electrically addressed directly, without any additional lithographic steps. The gap width (1.7- 2.2 nm) is defined by self-assembled monolayers of alkanedithiols, which affords a resolution as small as 2.5Å (i.e., a carbon-carbon bond). By doing length-dependent electrical measurements we derived a value of β = 0.75 Å-1 (0.94 nC-1) at 500 mV which is in agreement with literature values for SAMs of alkanethiols and indicates that tunneling occurs through the backbone of the SAM and that the alkanedithiols remain intact in the STAN devices[2,3,4].
The construction of tunneling junctions from arbitrary molecules can be achieved by exchanging the dithiols in the gap with free dithiols from solution. Incorporating arbitrary dithiols into STANs using exchange provides a high throughput and generalizable method towards a platform for the measurement of arbitrary molecules with a variety of electrode materials.
References
[1] D. J. Lipomi et al., Angew. Chem. Int. Ed. 50, 8566 (2011)
[2] P. Pourhossein, R C. Chiechi, ACS Nano, 6, 5566 (2012)
[3] R. L. Mays et al., J. Mater. Chem. C, 1, 121(2013)
[4] P. Pourhossein, R. C. Chiechi, J. Vis. Exp. 75, e50406(2013)
9:00 AM - SS13.89
Polarity Effects on the Growth Mechanisms of Zno Nanowires for Solar Cells
Vincent Consonni 1 Sophie Guillemin 1 2 Etienne Puyoo 1 Laetitia Rapenne 1 Hervamp;#233; Roussel 1 Eirini Sarigiannidou 1 Georges Bremond 2 Estelle Appert 1 3
1CNRS - Grenoble INP Grenoble France2INSA - ECL - Universitamp;#233; Claude Bernard Lyon France3CNRS - Grenoble INP Grenoble France
Show AbstractIncreasing efforts have been dedicated over the last decade to the development of ZnO nanowires (NWs) due to their potential applications in a wide variety of optoelectronic devices such as light emitting diodes, lasers, ultra-violet photodetectors and solar cells [1]. The growth of ZnO NWs in solution offers several advantages as a surface scalable, low-cost, low-temperature deposition technique but is still limited by the lack of understanding for their formation mechanisms.
In this paper, an original approach is used to thoroughly investigate the underlying physical and chemical processes driving the growth of ZnO NWs by chemical bath deposition. Seed layers composed of ZnO nanoparticles (NPs) deposited by dip coating on Si as well as ZnO bulk monocrystals with distinct crystal orientation and polarity are used as substrates. The structural and optical properties are investigated by scanning and transmission electron microscopy, atomic force microscopy, convergent beam electron diffraction, x-ray diffraction and low-temperature photoluminescence.
We reveal that the ZnO NW growth is limited by the mass transport of chemical precursors in solution [2]. For the growth on seed layers, ZnO NWs epitaxially nucleate and grow on the free surface of NPs, accounting for the relationship between their typical dimensions. Correlatively, the vertical alignment of ZnO NWs is enhanced by strengthening the NP texture along the c-axis [2]. Furthermore, under identical growth conditions, it is shown that ZnO NWs only form on O-polar c-plane ZnO monocrystals while more complex nanostructures and 2D layers develop on Zn-polar c-plane ZnO monocrystals and on non-polar m- or a-plane ZnO monocrystals, respectively [3]. ZnO NWs keep the O-polarity of c-plane ZnO monocrystals. These findings cast a new light on the general understanding of the growth of ZnO NWs in solution [3]. Eventually, ZnO NWs are used in dye-sensitized solar cells [4,5], for which a photo-conversion efficiency as high as 4.7% is reached [5].
The authors acknowledge funding by the Research Cluster Micro-Nano from the Region Rhocirc;ne-Alpes and by a Bonus Qualité Recherche grant from Grenoble INP through the project CELESTE.
[1] S. Xu and Z.L. Wang, Nano Res. 4, 1013 (2011).
[2] S. Guillemin, V. Consonni, E. Appert, E. Puyoo, L. Rapenne, and H. Roussel, J. Phys. Chem. C 116, 25106 (2012).
[3] S. Guillemin, L. Rapenne, H. Roussel, E. Sarigiannidou, G. Brémond, and V. Consonni, submitted (2013) “Formation mechanisms of ZnO nanowires: the crucial role of crystal orientation and polarity”.
[4] N. Karst, G. Rey, B. Doisneau, H. Roussel, R. Deshayes, V. Consonni, C. Ternon, and D. Bellet, Mater. Sci. Eng. B 176, 653 (2011).
[5] E. Puyoo, G. Rey, E. Appert, V. Consonni, and D. Bellet, J. Phys. Chem. C 116, 18117 (2012)
9:00 AM - SS13.90
Graphitic Fiber Growth Observed within Cleavage Gap of Oversaturated Carburized Iro
Jun-ichi Fujita 1 Eiji Iida 1 Takeshi Hikata 2 Soichiro Okubo 2 Katsuhisa Murakami 1
1University of Tsukuba Tsukuba Japan2Sumitomo Electric Industries Ltd. Osaka Japan
Show AbstractThe typical carbon sources for carbon nanotubes and graphitic sheet are hydrocarbon source gases such as methane, alcohols, and acetylene. The adsorbed hydrocarbon molecules are decomposed on a catalyst and subsequently moved to feed the growth point, leading to a continuous growth of the carbon fiber. While solid-phase reactions involving metal catalysts with amorphous carbon produce a graphitic sheet on the interfacial region that only covers the catalytic surface, and the amorphous carbon that contacts the catalyst can be transformed into the graphitic layer. The solid-phase reactions are not capable of producing carbon fibers, because the sheets get stuck on the catalyst and cannot freely move out from its surface.
We were able to observe many fibers growing within the cleavage gap of the carburized iron. The carburization in iron would typically become oversaturated, and the expansion of the iron carbide created several cracks on the catalyst surface. We reproducibly observed many graphitic fibers and sheets that seemed to bridge the cleavage gaps. The experimental procedure is as follows: high-purity iron foil (5 N) was oxidized in the ambient conditions at 850 °C; the iron oxide was then carburized at 850 °C in an acetylene gas flow. A carburizing heat treatment of pure iron should only produce cementite (Fe3C) such as pearlitic steel, but the reduced iron contained several portions of pure carbon along with the Fe3C particles. We believe that the reduced iron contains many transient vacancies and defects after releasing the oxygen atoms through topochemical reactions, and the loosened backborn lattice tolerated the oversaturation. Therefore, excess carbon can penetrate the vacancies and defects, and subsequently cause the expansion and cracking that resulted in fiber growth.
We also confirmed that this novel growth procedure was also triggered by mechanical cleavage of the oversaturated iron. The experiment was carried out by cooling and reheating the specimen at 850 °C in vacuum after the carburization process. While the reheating process caused no noticeable changes in the sample, the mechanical strain experienced by the specimen through an externally controlled manipulator cleaved the carburized specimen, and many fibers were observed at the cross section of the cleavage point. In this sample, the fiber was not a cylindrical graphitic tube; instead, it formed an elongated slab after curing the edges and twisting the body. We believe the soaked out of carbon would be catalyzed at the catalytic surface; however, the cleavage motion of the catalyst separated from out the graphitic slabs and created a bridged arrangement of fibers within a short distance of the cleavage point. The fibers were typically more than 50 mu;m long and approximately 20-100 nm wide. High-resolution transition electron microscopy images suggested that the edge of the graphite slab was closed, with the shape-crushed multiwalled nanotubes resembling a dog bone.
9:00 AM - SS13.92
Iron Sulfide (FeS) Nanotubes Using Phase Transformation of Hematite Nanowires
Dustin R. Cummins 2 Harry B. Russell 2 Jacek B. Jasinski 1 Madhu Menon 3 Mahendra K. Sunkara 1 2
1University of Louisville Louisville USA2University of Louisville Louisville USA3University of Kentucky Lexington USA
Show AbstractIn this study, we report the first successful synthesis of phase pure, highly oriented FeS nanotubes. Phase transformation of single crystal hematite nanowire arrays to phase pure FeS nanotubes was carried out by reacting the nanowires in an H2S atmosphere at relatively low temperatures. Iron monosulfide (FeS) is a promising candidate as a high current density material for Li batteries, a catalyst for H2O2 reduction and sensing, and as an absorber layer and photocathode for solar cells. Despite its promising applications, the electronic and optical properties of FeS have not been well characterized, due to the complex crystal nature of iron sulfide. HRTEM and electron nano-diffraction confirm that hexagonal (NiAs structure) FeS is formed with lattice parameter a = 3.43 Å and c = 5.68 Å. First principles DFT calculations show a direct band gap of 0.6 - 0.7 eV, which is confirmed by UV-Visible spectroscopy. This is the first report of band gap for this phase of FeS.
By comparing experiments with different reaction times and temperatures, a mechanism has been proposed involving the epitaxial formation of an iron sulfide shell on the surface of the hematite, and subsequent decomposition of the hematite core due to faster diffusion rate of iron in the lattice compared to sulfur, a form of the Kirkendall effect. This epitaxial growth leads to a crystalline nanotube with a preferential orientation. The nanotubes are single crystal axially, and have only low angle grain boundaries along the length of the wire, due to defects and lattice mismatch. This observed result and proposed mechanism can be applied to many material systems, particularly chalcogenides, and will lead to synthesis of new and useful nano-morphologies.
9:00 AM - SS13.93
Synthesis and Structural Characterization of Boron Nanowires, Nanotubes, and Nanosheets
Rajen B Patel 1 Zafar Iqbal 1
1New Jersey Institute of Technology Newark USA
Show AbstractSignificant effort has been directed towards the synthesis of carbon and boron nitride-based nanomaterials because of their unique one- and two-dimensional properties. The most exciting carbon nanomaterials are graphene and carbon nanotubes. This has spurred research into the creation of analogs of these materials using other elements, such as boron [1, 2]. While many different analogs were synthesized, perhaps the most intriguing were boron nanotubes. From a structural point of view, boron nanotubes are fascinating because of the electron deficiency of boron which can lead to novel electronic, electrochemical and gas absorption properties in comparison to carbon nanotubes.
Boron nanowires, nanotubes, and nanosheets have been synthesized using a primarily solid-solid thermal chemical vapor deposition process. A nanoparticle catalyst and a zeolite template are not required to grow these nanomaterials, unlike in previously reported methods. A vapor phase precursor, such as boron trichloride, was not used, making the synthesis process safer and scalable. The materials prepared were characterized by transmission electron microscopy and electron energy loss spectroscopy. Two different types of boron nanowires are found with lattice spacings that are different than those previously reported. These boron nanowires have diameters in the 40-100 nm range, and lengths well into the microns. In some cases, boron nanotubes were found among the nanowires. The boron nanotubes clearly have hollow interiors and distinguishable sidewalls. The boron nanotubes are roughly 10-20 nm in diameter, corresponding to 5-20 sidewalls; no single wall boron nanotubes were detected in the samples prepared. Additionally, a novel, sheet-like boron nanomaterial was found, which is relatively thin, amorphous with a large number of folds, and covering relatively large areas. Much like carbon- and boron nitride- based nanomaterials, these boron nanomaterials could find use in a variety of applications.
References:
[1] J. Liu and Z. Iqbal: Facile Synthesis of Pure Boron Nanotubes and Nanofibers. Materials Research Society Symposium Proceedings. 1307, cc05 21-26 (2011).
[2] D. Ciuparu, R. F. Klie, Y. Zhu, L. Pfefferle: Synthesis of Pure Boron Single-Wall Nanotubes. The Journal of Physical Chemistry B. 108, 13 3967-3969 (2004).
SS9: Opticals Properties of Nanowires
Session Chairs
Wednesday AM, December 04, 2013
Sheraton, 2nd Floor, Grand Ballroom
9:30 AM - SS9.02
Localization of Excitons in Narrow Core-Multi-Shell Quantum Well Tubes
Howard E Jackson 1 Teng Shi 1 Leigh Morris Smith 1 Jan M Yarrison-Rice 2 Bryan Wong 5 Joanne Etheridge 4 Nian Jiang 3 Qiang Gao 3 Hark Hoe Tan 3 Chennupati Jagadish 3
1University of Cincinnati Cincinnati USA2Miami University Oxford USA3Australian National University Canberra Australia4Monash University Victoria Australia5Sandia National Laboratories Livermore USA
Show AbstractRecently, Fickenscher et al. [Nano Letters 13, 1016 (2013)] have shown that, in a core-multi-shell structure where a GaAs quantum well is embedded into an AlGaAs shell wrapped around a [111] oriented GaAs nanowire, the electron and hole ground states are strongly confined to the corners of the hexagonally symmetric quantum well. Thus this confinement defines quantum wires which run along the length of the nanowires along its corners. In this presentation we discuss how these quantum confined states change as the well width is reduced by an order of magnitude from 10 nm down to 1.5 nm. Single nanowire photoluminescence measurements show that the confinement energy of excitons increases from 50 meV to as high as 400 meV for the narrowest wells. For well widths larger than 5 nm, optical transitions between electron and hole excited states can be seen in excitation spectra, while for widths less than 5 nm only the ground state optical transitions are observed.
As the well width becomes smaller than 5 nm, high resolution spatially resolved photoluminescence measurements show directly the appearance of localized states. Single nanowire spectra display a large number of ultranarrow emission lines on the high energy side of the luminescence band. This indicates that these localized states are not the lowest energy state in the system. Spatially-resolved PL images show that these quantum dots are localized randomly along the length of the wire, while measurements as a function of temperature show that these localized states disappear at temperatures above 50 to 100 K. These results will be compared with simple quantum calculations to gain insights into the nature of these unusual quantum dots in nanowire heterostructures.
We acknowledge the support of the NSF through DMR-1105362, 1105121 and ECCS-1100489, the DOE DE-AC04-94-AL85000 and the ARC.
9:45 AM - SS9.03
Tuning Band Energies in a Combined Axial and Radial Gaas/Gap Heterostructure
Leigh Morris Smith 1 Yuda Wang 1 Parveen Kumar 1 Howard E Jackson 1 Jan M Yarrison-Rice 2 Craig Pryor 3 Jung Hyun Kang 4 Qiang Gao 4 Hark Hoe Tan 4 Chennupati Jagadish 4
1University of Cincinnati Cincinnati USA2Miami University Oxford USA3The University of Iowa Iowa City USA4Australian National University Canberra Australia
Show AbstractWe use Raman scattering to study the spatially-resolved strain and stress in a complex zinc blende GaAs/GaP heterostructured nanowire which contains both axial and radial interfaces. The nanowires are grown by Metal-Organic Chemical Vapor Deposition in the [111] direction with Au nano particles as catalysts. After an initial growth of a 6 mu;m-long GaP wire, a short GaAs segment is grown, which consequently also produces a very narrow shell which overgrows the GaP segment. Since micro-Raman scattering reflects the phonon energies that are sensitive to the local stress, we control the polarization of the incident and scattered light to acquire and resolve the TO1 (Transverse Optical) and TO2 phonon modes of both GaAs and GaP. High spatial resolution Raman scans along the nanowires show that the GaAs/GaP interface is clearly identifiable. Within the GaP section of the wire, GaP TO modes are observed at lower energies compared to bulk GaP since it is under tension, while GaAs shell TO modes are at higher energies than bulk GaAs since it is under compression. A strain gradient exists across the interface so the GaP phonon energies shift to lower and GaAs phonon shift to higher energies as one approaches the interface. To study the shift of the band edges produced by these strains, a single nanowire is constructed by placing metallic contacts over each end of the NW with the GaAs/GaP axial interface in between. Photocurrent spectroscopy show the appearance of a number of high energy peaks above the unstrained GaAs band energy which are related to the strained GaAs and GaP materials.
We acknowledge the support of the NSF through DMR-1105362, 1105121 and ECCS-1100489, and the ARC.
10:00 AM - SS9.04
Single Crystalline Inp Nanopillar Grown on Silicon with Very Low Surface Recombination Velocity
Kun Li 1 Thai Tran 1 Kar Wei Ng 1 Hao Sun 1 2 Fanglu Lu 1 Connie J. Chang-Hasnain 1
1University of California at Berkeley Berkeley USA2Tsinghua University Beijing China
Show AbstractDirect growth of III-V nanostructures on silicon substrate overcomes the roadblock of lattice mismatch, and opens a pathway for integrated optoelectronic devices on silicon such as on-chip lasers or low-cost III-V photovoltaics. Among the III-V compound semiconductors, InP is very promising for optoelectronics because of its low surface recombination velocities (SRV), enabling high internal quantum efficiencies (IQEs) in structures with large surface-to-volume ratios. Recently, we reported catalyst-free growth of InP nanopillars on silicon by low-temperature metalorganic chemical vapour deposition (MOCVD). The unique core-shell growth mode allows the footprint of nanopillars to scale far beyond lattice-mismatched critical dimension while still preserving single-crystalline in the body. This gives rise to excellent optical properties which are not only beneficial to future device development, but also enable the revelation of certain material physics. Furthermore, the crystal phase of InP nanopillars is verified to be wurtzite, whose bandgap is around 80 meV higher than zincblende bulk InP.
Room-temperature time-resolved photoluminescence (TRPL) measurements reveal that at low injection levels, the effective lifetime (tau;) increases with pump power as the non-radiative lifetime (tau;_nr) gets longer, while at high injection levels tau; drops with increasing pump power when the radiative lifetime (tau;_r) dominates. Here, we propose an innovative but straightforward methodology to extract tau;_nr and tau;_r by combining tau; (tau;^(-1)=tau;_nr^(-1)+tau;_r^(-1)) with IQE values (IQE=tau;_r^(-1)/(tau;_nr^(-1)+tau;_r^(-1))). SRV values are estimated from the resulting tau;_nr and are compared for nanopillars grown at different temperatures (450, 455, and 460°C). Our data show that significant improvements of tau;_nr and SRV can be achieved with a higher growth temperature. And we found nanopillars removed from the growth substrate show longer lifetimes than as-grown ones, suggesting that non-radiative recombination at misfit body defects confined near the InP-silicon interface is also a significant contributor to tau;_nr. However, SRV values of nanopillars grown at 460°C can be improved by neither mechanical removal nor chemical surface passivation. This indicates higher growth temperature not only reduces body defect density, but also passivates nanopillar surface. As-grown nanopillar synthesized at 460°C shows tau; ~7.41 ns, with tau;_nr ~10.42 ns and SRV ~1.06E3 cm/sec. To the best of our knowledge, this is the longest lifetime detected under room temperature for InP nanopillar/nanowire structures, and the SRV approaches the reported value of InP bulk material.
From the extracted tau;_r value, a radiative recombination coefficient of B~5.7E-10 cm3/sec can be calculated, which has never been studied for wurtzite InP before. The fact that it is comparable to the highest experimental B values reported for zincblende bulk InP manifests the excellent emission efficiency of our InP nanopillars.
10:15 AM - SS9.05
Evaluation of InAs/InAlAs Core-Shell Nanowire Interface Quality
Chris Michael Haapamaki 1 2 3 Gregory Holloway 1 4 5 Daryoush Shiri 1 Ray LaPierre 3 Jonathan Baugh 1 2
1University of Waterloo Waterloo Canada2University of Waterloo Waterloo Canada3McMaster University Hamilton Canada4University of Waterloo Waterloo Canada5University of Waterloo Waterloo Canada
Show AbstractBare InAs and core-shell InAs/InAlAs nanowires were grown by the Au-assisted vapour-liquid-solid method in a gas source molecular beam epitaxy system. The epitaxial quality of the core-shell interface was evaluated by looking for evidence of strain relaxation in a transmission electron microscope (TEM) operated in high angle annular dark field and selected area diffraction modes. A theoretical strain model was then used to verify the critical InAlAs shell thickness based on Al composition and compared to the TEM analysis [1]. The effectiveness of the surface passivation was examined by fabricating and characterizing nanowire field effect transistors (NWFETs). As the temperature was decreased, the mobility in bare InAs NWFETs showed a turnover to lower values while core-shell NWFET mobility increased monotonically [2,3]. We attribute this to a reduction of ionized impurity scattering resulting from the removal of surface states. At 1.2 K the core-shell NWFET mobility was often in excess of 10000 cm^2/Vs. To further evaluate the core-shell NWFETs, we studied the axial-field magnetoconductance [4]. Conductance oscillations at liquid Helium temperature in accumulation mode are periodic in the flux quantum corresponding to an effective radius close to the InAs core radius. Gate voltage dependence of the magnetoconductance matches well to a model of circular coherent electronic states in a cylindrical shell (i.e a surface two-dimensional electron gas). The results imply a phase coherence length of at least ~ 170 nm at 3.8 K. It is remarkable that the magnetoconductance oscillations match qualitatively with the results of a ballistic calculation, even though the device is operating in the diffusive transport regime. This suggests a high degree of crystalline order at the InAs\InAlAs interface, a key requirement for using core-shell nanowires in coherent quantum device experiments.
[1] C. M. Haapamaki, J. Baugh, and R. R. LaPierre, J. Appl. Phys. 112, 124305 (2012)
[2] N. Gupta, Y. Song, G. Holloway, U. Sinha, C. M. Haapamaki, R. R. LaPierre, J. Baugh, Nanotechnology 24 225202 (2013)
[3] G. W. Holloway, Y. Song, C. M. Haapamaki, R. R. LaPierre, J. Baugh, Appl. Phys. Lett. 102 043115 (2013)
[4] G. W. Holloway, D. Shiri, C. M. Haapamaki, K. Willick, G. Watson, R. R. LaPierre, J. Baugh, arXiv:1305.5552 [cond-mat.mes-hall]
10:30 AM - *SS9.06
Elaboration of Nanowire Structural and Compositional Complexity for Enhanced Function
Charles M. Lieber 1
1Harvard Univeristy Cambridge USA
Show AbstractStudies of semiconductor nanowires have demonstrated these materials to be powerful building blocks for exploring basic science through novel applications at the nanoscale, While continued developments in the synthetic control of basic structures remains important for pushing commercial technologies based on nanowire, there remains the potential for break-through opportunities by pushing limits of structural and compositional complexity. This presentation will center on this latter concept with the discussion of recent synthetic and structural characterization studies of complex nanowires. Specific emphasis will be placed on facet selective and diameter modulated growth as well as control of topology in 2- and 3-dimensions. Examples of potential applications of these new nanowire structures will also be presented.
SS10: Doping and Electronic Devices Based on Nanowires
Session Chairs
Wednesday AM, December 04, 2013
Sheraton, 2nd Floor, Grand Ballroom
11:30 AM - SS10.01
Zn-Doping of Gaas Nanowires Grown by Aerotaxy
Fangfang Yang 1 Maria E Messing 1 Kilian Mergenthaler 1 Mats-Erik Pistol 1 Knut Deppert 1 Lars Samuelson 1 Martin Magnusson 1
1Lund University Lund Sweden
Show AbstractNanowires were grown by means of a novel aerosol-based method called Aerotaxy [1]. Here, an aerosol of Au catalyst nanoparticles in N2 is mixed with MOCVD precursors (TMGa, AsH3 and DEZn) in a flow-though reactor at atmospheric pressure, whereby nanowires are produced continuously in high concentrations. The nanowires grow to 1 µm in length in only 1 second, a growth rate which is at least 20 times faster than what is possible for substrate-based MOVPE. This growth method is thus suitable for mass production of nanowire material in a cost-efficient way. By controlling the cracking and concentration of the precursors, p-doped GaAs nanowires could be grown exhibiting a wide range of Zn doping levels. The morphology and the crystalline structure of the nanowires, and the composition of the catalyst particles, were studied using SEM, TEM and EDX. The nanowires typically grew in the <111> direction with a pure Zincblende structure at low DEZn flows, but at higher flows the number of twinning defects increased due to Zn incorporation. The amount of Zn detected in the catalyst particles was much higher than the injected DEZn/TMGa ratio, which can be explained by the ternary phase diagram. The wires were analysed electrically using conductivity and back-gated single wire FET measurements, and optically using photoluminescence, where increasing doping concentration leads to state filling in the valence band, visible as a luminescence peak extension to higher photon energies.
[1] M. Heurlin et al., Nature, 492 (2012), 7427
11:45 AM - SS10.02
Demonstration of P-Channel Tunnel FET Using Zn-Doped Inas Nanowire/Si Heterojunction and Doping Effect
Katsuhiro Tomioka 1 2 3 Masatoshi Yoshimura 1 2 Eiji Nakai 1 2 Takashi Fukui 1 2
1Hokkaido University Sapporo Japan2Hokkaido University Sapporo Japan3JST Kawaguchi Japan
Show AbstractRecent advances in epitaxial techniques such as Vapor-Liquid-Solid (VLS) method and selective-area growth (SAG) have enabled the heterogeneous integration of III-V nanowires (NWs) on Si substrate. These III-V NWs on Si are expected as building-blocks for next-generation high-performance transistor [1]. Moreover, the III-V NW/Si heterojunctions, formed by the epitaxy, have provided unique band diagrams, and these phenomena using III-V NW/Si junction is feasible for the application of low power switching device. We have proposed a concept of the tunnel field-effect transistors (TFET) using the heterojucntion [2] and demonstrated n-channel tunnel field-effect transistors (TFET) using InAs NW/Si heterojunction [3]. The p-channel switch is required for future integrated circuits based on the TFETs. Here, we report on the first experimental demonstration of p-channel TFET using Zn-doped InAs NW/n-Si heterojunction, and investigate a doping effect of the InAs NW-channel segment.
At first, we grew InAs NWs on n-Si (111) by low-pressure horizontal MOVPE system. Growth conditions were as follows; partial pressure of TMIn, [TMIn] = 4.87 x 10-7 atm, partial pressure of AsH3, [AsH3] = 1.25 x 10-4 atm, growth temperature = 560 °C, growth time = 7 min. For p-type doping of the InAs NW, we used diethylzinc (DEZn). After growth of undoped InAs NW, same growth was continued for 8 min with introducing Zn doping to make axial n-p+ axial junctions inside the InAs NW. Device processes for the vertical FET structure was the same as previous report [3]. The gate oxide was HfAlO, and the gate metal was tungsten. Drain metal was Ti/Pd/Au non-alloy Ohmic electrode. To investigate the doping effect of the undoped InAs NW, we used Zn-pulse doping effect. The purpose of the pulsed doping is to make intrinsic layer by compensation effect.
Fabricated TFET had a single InAs NW as a channel. Measured current was normalized by using the outer perimeter of the gate metal. The p-channel switching properties were successfully obtained from this structure. The performances of device containing undoped InAs NW-channel are summarized as follows; average subthreshold-slope (SS) was 186 mV/dec, threshold voltage ~ - 0.20 V, and on-off ratio, ION / IOFF reached to 103 in average. In contrast, the TFET containing Zn-pulse doped InAs NW-channel improved the switching property. The SS was 85 mV/dec and ON / IOFF reached to 104 in average. Formation of near intrinsic layer by the Zn-pulsed doping avoid the voltage overlapping between the channel and drain parts, thus SS was improved.
[1] K. Tomioka et al., Nature 488 (2012) 189
[2] K. Tomioka et al., VLSI symposia Tech Dig. (2012) 47.
[3] K. Tomioka et al., Appl. Phys. Lett., 98 (2011) 083114.
12:00 PM - SS10.03
Spatially Resolved Hall Effect Measurements in Core-Shell InP Nanowires
Olof Hultin 1 Kristian Storm 1 Magnus Heurlin 1 Magnus T. Borgstroem 1 Lars Samuelson 1
1Lund University Lund Sweden
Show AbstractSemiconducting nanowires are promising candidates for future high efficiency solar cells and light emitting diodes. [1][2] To achieve high efficiency, accurate control of the doping level is necessary. Because of the one-dimensional nature of nanowires it is inherently challenging to characterize them. Only recently have Hall effect measurements been realized for nanowires [3][4], making it possible to directly extract the carrier concentration and Hall mobility from electrical measurements. In this work spatially resolved Hall effect measurements are used to characterize the shells of InP core-shell nanowires grown with diethylzinc (DEZn) doped p-type cores and tetraethyltin (TESn) doped n-type shells. These experiments offer an exciting opportunity to further explore the applicability of nanowire Hall effect measurements as well as extending the knowledge of epitaxial growth and doping of InP nanowires.
Seven types of nanowires with different shell growth parameters have been characterized to find how the TESn ratio and the V/III ratio during growth affect the properties of the nanowire shells. Measurements show that the dependence of doping concentration on the TESn molar fraction corresponds well to previous experiments in bulk InP. It is also shown that the morphology of the nanowires depends on the TESn molar fraction during growth. High TESn molar fraction is shown to give rise to geometrically inhomogeneous wires and a gradient in the doping concentration along the length of the nanowire. Furthermore, studies on the effect of varying V/III-ratio during growth show that the doping incorporation and morphology exhibit only weak dependencies of V/III-ratio, significantly smaller than the effect from varying the TESn flow.
Finally, mobility measurements have been carried out using Hall effect measurements combined with four-point resistivity measurements. It is shown that the mobility decreases with increasing doping concentration, a trend consistent with bulk InP. The mobilities are however significantly lower than in bulk InP. This indicates that the mobility is strongly affected by charged impurity scattering, but that some other scattering mechanism contributes significantly. Investigations on the effect of surface to volume ratio on electron mobility in these nanowires show no clear influence of surface scattering, which may indicate that the crystal defect density is sensitive to the epitaxial growth conditions.
[1] Wallentin, J., InP Nanowire Array Solar Cells Achieving 13.8% Efficiency by Exceeding the Ray Optics Limit, Science 1230969, 2013.
[2] Lin, H., InGaN/GaN nanorod array white light-emitting diode, Applied Physics Letters 97, 2010.
[3] Storm, K., et al. Spatially resolved Hall effect measurement in a single semiconductor nanowire, Nature Nanotechnology 7, 2012.
[4] DeGrave, J.P., et al., A General Method To Measure th Hall Effect in Nanowires: Examples of FeS2 and MnSi, NanoLetters 13 (6), 2013.
12:15 PM - SS10.04
Formation of III-V Semiconductor/Oxide Heterostructure Nanowires on Si and Their Extention to Buried Entire Structure
Hideaki Hibi 1 Namsoo Ahn 1 Masahiko Kondow 1 Fumitaro Ishikawa 1 3 Masahito Yamaguchi 2
1Osaka University Suita Japan2Nagoya University Nagoya Japan3Ehime University Matsuyama Japan
Show AbstractSemiconductor nanowires (NWs) are expected to be building blocks for the next generation photonic and electronic devices because of their superior characteristics. Advanced epitaxial techniques used to fabricate NWs enable to integrate III-V semiconductors directly on Si substrate, even though there are large mismatches of the lattice constant and thermal coefficient. On the other hand, oxide NWs are materials having advantages comparable with semiconductors, due to their dielectric, thermal, resistive properties which cannot be achieved with semiconductors. Then, integration of III-V semiconductors and oxides within a monolithically synthesized nanowire system would be promising for the future functional devices. Based on the above, we here report the synthesis and extension of III-V semiconductor/oxide heterostructure NWs on Si substrate through a molecular beam epitaxial growth and subsequent selective wet oxidation.
GaAs/AlGaAs NWs were grown by molecular beam epitaxy using constituent Ga as a catalyst to assist NW growth on n-type Si(111) substrates.[1] The core GaAs was grown for 15 min. with substrate temperature 570 deg. C and As pressure 1.5x10-5 Torr. Then we made growth interruption for 15 min. to crystalize the catalyst Ga. During the interruption, the Asnot; pressure was increased to obtain sharp-facetted shell structure grown under accurate diameter control. The shells Al0.9Ga0.1As were grown varying the growth time of the layers between 30 min and 6 hours. The Al rich composition of the shell AlGaAs was chosen to obtain large contrast of oxidation rate between the shell AlGaAs and the core GaAs. After the growth, we carried out wet oxidation on the samples for 2 hours at temperature 370 deg. C.
The NWs grown their shell AlGaAs for 30 min. were investigated by the energy dispersive x-ray spectroscopy elemental mapping with scanning transmission electron microscopy. Those wires show 100 nm-diameter GaAs core surrounded by AlGaOx shell with 100 nm-widths. That indicates the oxidation was selectively progressed for the shell AlGaAs layer. And we obtain GaAs/AlGaOx core-shell heterostructure NWs. When we increase the growth time of the shell layers, we can obtain thicker wires having their diameter accurately controlled with the rate about 500 nm/h. For the sample grown their shells for 6 hours, we observe coalesced wires with the neighboring&’s. Eventually, the GaAs cores are buried by the coalesced shell surroundings of the oxides, forming planar entire structure. This structure makes it easy to fabricate contact electrodes at the top of the wires, which would be promising for the future device applications. Further, the nature of the oxides having much greater resistivity and lower refractive index compared to GaAs, enables electrical and optical confinement within the system.
[1] Y. Araki, M. Yamaguchi and F. Ishikawa, Nanotechnology 24 (2013) 065601.
12:30 PM - SS10.05
Buried Triple-Gate Structures for InAs-Nanowire Field-Effect Transistor Devices
Marcel Mueller 1 4 Emre Ecik 1 Fabian Haas 2 Torsten Rieger 2 Klaus Kallis 1 Ulrich Kuenzelmann 3 Joachim Knoch 4
1IMS TU Dortmund Dortmund Germany2JARA-FIT and Peter Gramp;#252;nberg Institut Jamp;#252;lich Germany3Institute of Semiconductor and Microsystems Technology Dresden Germany4Institute of Semiconductor Electronics Aachen Germany
Show AbstractIn recent years, performance advancement of the traditional MOSFET has been slowed down due to strong short-channel effects and the limited carrier mobility of the channel material silicon. Since then, the focus of interest has shifted to emerging channel materials such as III-V semiconductor nanowires, carbon-based- and 2D-materials (e.g. graphene). The 2011 ITRS roadmap predicts that “in the long term” in order “to attain adequate drive current for the highly scaled MOSFETs, high transport channel materials such as hellip; semiconductor nanowires, carbon nanotubes, graphene or others may be needed”. In addition, different device principles, such as the Tunnel-FET (TFET), have attracted a great deal of interest in order to beat the 60 mV/dec limit. A major challenge for the investigation of emerging channel materials is the realization of an appropriate source-channel-drain doping profile. A viable approach is the source-drain doping by means of electrostatic potentials. In comparison to conventional doping, this approach allows a flexible adjustment of the source-channel-drain doping profile during electrical measurements.
For the realization of electrostatic doping we produced a buried triple-gate (BTG) structure, consisting of highly doped Si side-gates and an aluminum center-gate. The source- and drain-side-gates can be used to realize n-type, p-type or TFET devices with an n-doped source and p-doped drain. The manufacturing process starts with (100) silicon-on-insulator (SOI) and includes implantation/activation, anisotropic etching of center-gate trenches using TMAH and subsequent thermal oxidation to grow a 95 nm thick side-gate oxide. Afterwards, aluminum is sputter-deposited and the overburden removed by CMP. The process is concluded by ALD of 7 nm Al2O3 gate-oxide and contact formation. The BTG structure is arranged as a meander in order to cover ~80% of the sample&’s surface. Nanowires (or other nano-objects) are deposited on the BTG and source-drain contacts are manufactured by electron-beam lithography, Ti/Au thermal evaporation and lift-off. In our experiments, we used two types of nanowires: MBE-grown, undoped, 100 nm diameter and VLS-grown, undoped, 20 nm diameter with Al2O3 coating. Electrical measurements show that symmetrical positive(negative) side-gate voltages yield n-FET(p-FET) devices with Ion/Ioff ratios of >10^4 and subthreshold slopes of ~200 mV/dec. In addition, modified BTG structures have been manufactured: An Si3N4-layer is used as hard-mask for the TMAH etching and local oxidation (LOCOS) of the trench walls. As a result, the side-gates have the same thin ALD Al2O3 gate-oxide than the center gate. Potential simulations using ANSYS show highly increased surface-potential control by the side-gates and sharper gate/side-gate potential transitions. Detailed electrical measurements of nanowires on those substrates will be presented.
12:45 PM - SS10.06
High Mobility InN Nanorods as Terahertz Wave Detectors
Sheng Chu 1 Junming Zhang 1
1Sun Yat-sen University Guangzhou China
Show AbstractInN nanowires are synthesized by a low-pressure chemical vapor deposition (CVD) method. InN powder and ammonia gas were used as sources, where the temperature is elevated to 600 C in for 10 min to yield high quality InN nanorods. High resolution transmission electron microscope measurem were used to confirm the single crystalline of the InN nanorods. Transport properties are performed by configuring InN nanorod into a back-gated field-effect transistor. The electrical properties of InN nanorods were extracted with a high mobility of 956 cm2/VS. Ti/Au ohmic contacts as well as antena pads were then realized taking care of making the potential barrier between InN and metal contacts negligible.For terahertz detection application, the terahertz radiation field incident at the gate-source electrodes, and the rectified signal is then read at the output in the form of
a DC drain voltage. Significant responsivity values ~1V/W) at 1 THz have been obtained. This device shows that nanorods based nanodevice is promising in terahertz applications.
Symposium Organizers
Tobias Voss, University of Bremen
Anna Fontcuberta i Morral, EPFL
Qihua Xiong, Nanyang Technological University
John J. Boeckl, Air Force Research Laboratory
Chennupati Jagadish, Australian National University
Symposium Support
Aldrich Materials Science
Anfatec Instruments AG
Optronics GmbH
SS17: Nanowire-based Thermoelectric Applications
Session Chairs
Anna Fontcuberta i Morral
Thursday PM, December 05, 2013
Sheraton, 2nd Floor, Grand Ballroom
2:45 AM - *SS17.01
Defect and Composition Engineering of Bi2Te3-Based Thermoelectric Nanowires
Kornelius Nielsch 1
1University of Hamburg Hamburg Germany
Show AbstractChalcogenide nanowires based on Bi2Te3 and related materials are of significant interest for two scientific fields: nanostructured thermoelectrics and topological insulators. In the presentation, we will describe two important chemical synthesis approaches for nanostructured thermoelectric materials on the way towards optimized physical model systems. We will present the thermoelectric properties of nanostructured objects which have been synthesized by the following two different approaches:
Growth by the Vapour Liquid Solid (VLS) mode of single-crystalline and binary semiconductor nanowires and nanobelts is a widespread technique. The resulting Bi2Te3 nanowires exhibit reduced tellurium content at the nanowire surface. After annealing in a Te atmosphere, single-crystalline Bi2Te3 nanowires have been obtained, which show reproducible electronic transport properties (electrical conductivity and Seebeck coefficient) close to those of intrinsic bulk Bi2Te3.
Millisecond-Pulsed Electrochemical Deposition is a quite flexible approach for achieving nanowires of ternary chalcogenide compounds, which have been grown in nanoscale confined spaces. After annealing in Te, enhanced transport properties close to those of bulk materials have been observed: Single Bi2(Te1-xSex)3 and (Bix-1Sbx)2Te3 nanowires exhibit power factors of 3100 mu;W/K2m and 1600 mu;W/K2m, respectively.
Both combined approaches, based on a chemical synthesis technique and subsequent balancing of the stoichiometry by annealing under Te atmosphere, have resulted in bulk-like power-factors for the Bi2Te3 based nanowires. Furthermore, we present magneto-resistance measurements on the Bi2Te3 and Sb2Te3 nanowires, which show clear evidences of topological surface states.
The financial support by the German Priority Program DFG-SPP 1386 on Thermoelectric Nanostructures is gratefully acknowledged: www.spp1386thermoelectrics.de
3:15 AM - SS17.02
Thermal and Electrical Transport of Single-Crystalline Bismuth Telluride Nanowires Synthesized via Catalytic Growth
Bacel Hamdou 1 Johannes Kimling 1 Johannes Gooth 1 August Dorn 1 Eckhard Pippel 2 Raimer Rosteck 3 Peter Woias 3 Kornelius Nielsch 1
1University of Hamburg Hamburg Germany2Max Planck Institute of Microstructure Physics Halle Germany3University of Freiburg Freiburg Germany
Show AbstractBi2Te3 based materials are among the most promising materials for thermoelectric applications with a figure of merit close to 1 near room-temperature. Recently, it has been theoretically predicted that Bi2Te3 and related materials are 3D topological insulators, a phase of matter that has a bulk bandgap and gapless electronic surface states protected by time-reversal symmetry. Reduced dimensionality, as in Bi2Te3 nanowires, can be beneficial for both research areas. Regarding thermoelectricity, theoretical investigations predict that confinement effects in NWs can strongly enhance the thermoelectric powerfactor. On the other hand, the increased surface-to-volume ratio of NWs is expected to significantly enhance the contribution of surface states to electrical transport.
We report on thermal and electrical transport measurements on individual single-crystalline bismuth telluride nanowires. The bismuth telluride NWs were synthesized via catalytic growth in a single-heater zone tube furnace. Post-annealing in Te-rich atmosphere was used to obtain high quality, stoichiometric single-crystalline Bi2Te3 nanowires with reproducible electronic transport properties (electrical conductivity and Seebeck coefficient) that are close to those of intrinsic bulk Bi2Te3. Further, magnetoresistance measurements on individual Bi2Te3 NWs were performed at temperatures down to 2 K. The parallel magnetoresistance curves exhibit Aharonov-Bohm oscillations and weak antilocalization, which indicate the presence of topological surface states. From Shubnikov-de Haas oscillations in the perpendicular magnetoresistance we have obtained Fermi state parameters, which provide valuable information of the surface states.
The financial support by the German Priority Program DFG-SPP 1386 on Thermoelectric Nanostructures is gratefully acknowledged: www.spp1386thermoelectrics.de
SS18: Wide Bandgap Semiconductor Nanowires
Session Chairs
Thursday PM, December 05, 2013
Sheraton, 2nd Floor, Grand Ballroom
4:00 AM - SS18.01
Environmental Effects on Fabry-Perot Resonance Observed in GaAs Nanowires
Shermin Arab 1 Maoqing Yao 1 Chongwu Zhou 1 2 P. Daniel Dapkus 1 2 3 Stephen Cronin 1 3
1University of Southern California Los Angeles USA2University of Southern California Los Angeles USA3University of Southern California Los Angeles USA
Show AbstractWe investigate photoluminescence (PL) spectroscopy of individual GaAs nanowires grown by metal organic chemical vapor deposition (MOCVD). Substantial improvements (6X at 300K) in the photoluminescence efficiency and Fabry-Perot resonance can be achieved by controlling the local environment of the nanowire, through surface passivation and local field enhancement, enabling Fabry-Perot peaks to be observed even at room temperature. For bare GaAs nanowires 150nm in diameter, the PL spectra exhibit a single peak corresponding to the band gap of the nanowire at 300K. The same measurement at 77K shows strong Fabry-Perot (FP) resonance peaks with peak-to-peak spacing in good agreement with calculated spacing values based on the nanowire length. Passivation of the surface states in the nanowires leads to a significant enhancement of the photoluminescence intensity and the FP resonance by reducing non-radiative surface recombination. We demonstrate effective enhancement with both ionic liquid passivation (EMIM-TFSI) and AlGaAs surface layer passivation. Depositing the nanowires on an Au substrate also leads to tremendous enhancement in the PL intensity, enabling strong FP peaks to be observed even at room temperature. Full Au-covered and locally Au-pattered substrates both enhance the PL intensity and the FP peaks. Electromagnetic simulations using the finite-difference time-domain (FDTD) method show that local field enhancement and reflection of light radiated downward give rise to the large enhancement factors observed experimentally.
4:15 AM - SS18.02
Room-Temperature GaAs Nanowire Laser
Dhruv Saxena 1 Sudha Mokkapati 1 Patrick Parkinson 2 Qiang Gao 1 Hoe Tan 1 Chennupati Jagadish 1
1Australian National University Canberra Australia2University of Oxford Oxford United Kingdom
Show AbstractSemiconductor nanowires are excellent means to realise compact lasers for high density photonic integration. The nanowire provides both a gain medium and cavity for lasing, and they can potentially be grown on silicon, despite differences in lattice constants. Over the last decade, nanowire lasers of various emission wavelengths have been demonstrated. In particular, room-temperature lasing has successfully been achieved by both optical pumping and electrical injection methods in ZnO nanowires, owing to a large exciton binding energy at room-temperature. While these ultra-violet nanolasers have exciting applications, nanolasers emitting in the near infra-red are required for future on-chip optical communication networks, because of lower transmission losses. GaAs is a suitable material for data and short distance communication applications; however progress in realising GaAs nanowire lasers has been quite limited, due to the presence of large number of surface states which inhibit radiative recombination of carriers in the material. Despite several efforts to fabricate high quantum efficiency GaAs nanowires, so far only low temperature lasing in GaAs/GaP nanowires has been reported. However room-temperature lasing is a necessary requirement for the realisation of practical devices.
Here we report room-temperature lasing in Au-catalyzed core-shell-cap GaAs/AlGaAs/GaAs nanowires by optical pumping method. The nanowire heterostructures are grown by metal-organic chemical vapour deposition. Room-temperature photoluminescence and time-resolved measurements of these nanowires reveal excellent surface passivation and high material quality of the GaAs core. Structural characteristics of the nanowires are analysed by scanning electron microscopy. The dimensions of the nanowires grown are controlled to achieve low threshold gain requirements, which are determined prior to growth by modelling threshold gain for a GaAs nanowire laser. Optical pumping experiments of single nanowires show clear evidence of lasing at low temperature, which is sustained even at room-temperature. Further analyses of the experimental data by threshold gain modelling for core-shell-cap GaAs/AlGaAs/GaAs nanowire and rate-equation modelling, is used to determine the lasing mode and estimate the quality and spontaneous emission factors for the device.
4:30 AM - SS18.03
Carbon Doped Siox Nanowires with Large Yield White Emission
Giancarlo Salviati 1 Filippo Fabbri 1 2 Marco Negri 1 Francesca Rossi 1 Roberta Tatti 1 3 Lucrezia Aversa 1 3 Sathish Chander 1 Roberto Verucchi 1 3 Giovanni Attolini 1
1IMEM-CNR Parma Italy2Massachusetts Institute of Technology Boston USA3IMEM-CNR Trento Italy
Show AbstractRare Earth Oxides phosphors are a critical component of fluorescent based lighting systems. The global shortage of these materials is currently having a direct impact on phosphor availability and pricing. The achievement of Si-based compounds working as white light phosphors to replace these compounds would be a breakthrough in the field of display and lighting technologies.
In this work we report the synthesis of SiOx NWs forests with an intense white emission yield. Due to the carbon monoxide gas used as dopant precursor, we are able to obtain carbon-doped under-stoichiometric silicon dioxide NWs. The doping of the NWs is studied by means of X-ray photoelectron spectroscopy, which allows to assess the presence of carbon atoms in the silicon oxide amorphous structure. The carbon map inside the SiOx NWs is obtained by High-Angle Annular Dark-Field STEM images.
The light emission properties are studied by means of cathodoluminescence spectroscopy, both in the SEM and TEM, which shows three main emission bands set at 2.7 eV (blue), 2.3 eV (green) and 1.9 eV (red), resulting in white emission. In addition the light emission of SiOx NWs is studied by annealing the samples in different environment, as nitrogen and oxygen. It is found that the white emission turns blue and green-yellow in case of the nitrogen and oxygen annealing, respectively.
4:45 AM - SS18.04
Transmission Electron Microscopy and Photoluminescence Analysis of MOVPE-Grown GaN Based Core-Shell LED Wires
Jana Hartmann 1 Xue Wang 1 Stephanie Bley 2 Florian Krause 2 Kathrin Sebald 2 Martin Mandl 3 Xiang Kong 4 Achim Trampert 4 Martin Strassburg 3 Tobias Voss 2 Jamp;#252;rgen Gutowski 2 Hergo-Heinrich Wehmann 1 Andreas Waag 1
1Technical University of Braunschweig Braunschweig Germany2University of Bremen Bremen Germany3Osram Opto Semiconductors GmbH Regensburg Germany4Paul-Drude-Institute for Solid State Electronics Berlin Germany
Show AbstractA promising approach for the realization of high efficacy GaN white light emitting diodes (LEDs) is the fabrication of three-dimensional (3D) columns using a core-shell geometry. In this way, one can increase the light emitting area with respect to the substrate surface area and avoid well-known problems of two-dimensional (2D) growth like, e.g., a high defect density due to differences in thermal expansion and lattice constants. Another advantage for LEDs on 3D structures is the non-polarity of the sidefacets.
In our test structures the core consists of n-doped GaN and the shell of an InGaN/GaN multi quantum well (MQW), all surrounded by either a nominally undoped GaN or a p-doped GaN capping layer. For the fabrication of 3D structures, selective area growth by metal organic vapour phase epitaxy (MOVPE) was used. GaN columns were grown into the holes of a SiOx masking layer which was deposited onto GaN-sapphire templates. Afterwards, the MQW structure was grown as a shell around the core. Subsequently, an optional AlGaN electron blocking layer (EBL) and the final undoped or p-doped GaN capping layer were grown. Aspect ratios (i.e. height to diameter) of 2.5 to 11 (calculated from field emission scanning electron microscopic images) and different numbers of QWs (5-fold and 3-fold) in the MQW were realized.
We analysed the homogeneity of the active region of the different 3D structures by transmission electron microscopy (TEM) and compare the results. Moreover, photoluminescence (PL) results of the high aspect ratio sample will be presented. The InGaN peak at 400 nm could be measured by excitation 45° to the sample normal whereas no peak was found under excitation in the direction of the sample normal. Therefore, angle dependent photoluminescence measurements will be discussed. PL confirms the high optical quality of the m-plane MQW structure with an intense excitonic emission at around 400 nm being observed even at room temperature. A shift of the excitonic emission from 400 nm to 425 nm, while scanning the sample surface with the laser beam, is caused by inhomogeneities of the QW thickness or of the indium content. Possible reasons for such a systematic indium variation will be discussed.
5:00 AM - SS18.05
Coalescence of Inn and Inxga1-Xn Nanorods in Plasma-Assisted Molecular Beam Epitaxy
Sergei Novikov 1 L. E Goff 1 R. EL Powell 1 A. J Kent 1 C. T Foxon 1 A. Fischer 2 F. Ponce 2 R. Webster 3 D. Cherns 3
1University of Nottingham Nottingham United Kingdom2ASU Tempe USA3University of Bristol Bristol United Kingdom
Show AbstractInxGa1-xN alloys have a direct band gap from 0.7 to 3.4eV, which covers most of the visible spectrum. These alloys are now actively studied worldwide for solar cell applications. However, the material quality of the InxGa1-xN alloys with intermediate composition remains poor. The growth of nanorods promises a possible solution to the InxGa1-xN material problems.
The growth of InxGa1-xN nanorods by plasma-assisted molecular beam epitaxy (PA-MBE) has been investigated for over a decade. It is now well-established that 3-D growth leading to nanorods can be achieved over the full composition range by a low III:N ratio. The growth of discrete nanorods, which have been found to be mostly free of threading defects, is a catalyst-free process, thus promising good control over material quality and doping. As well as high structural perfection, there is good evidence that MBE-grown nitride nanorods are of high optical and optoelectronic quality.
Together with the other groups we have demonstrated the growth of GaN nanorods by PA-MBE on (0001) sapphire surfaces under N-rich conditions. We have also shown that, by changing from N-rich to Ga-rich conditions, the growth mode can be changed from vertical to lateral, leading to growth of a continuous overlayer. As a result of growing the nanorod layer, many of the threading defects present in the intermediate layer are eliminated, leading to coalesced GaN overlayers with lower threading defect densities.
Our current studies aim to establish InxGa1-xN as a basis for high efficiency solar cells, by using nanorod geometry to overcome materials limitations associated with high densities of threading defects and layer strains that affect continuous InxGa1-xN epilayers. We have studied PA-MBE growth of InN and InxGa1-xN on Si (111) and 6H-SiC substrates. We have established the MBE growth conditions required for the growth of InN and InxGa1-xN nanorods. We have investigated the MBE growth conditions required for efficient coalescence of InxGa1-xN nanorods over a wide composition range. Transmission Electron Microscopy (TEM) showed that the nanorods grew mostly as perfect crystals, and that, after coalescence, threading dislocations were mostly confined to grain boundaries. Photoluminescence (PL) and catholuminescence (CL) demonstrated unusually bright luminescence in the range 550-750nm for InxGa1-xN coalesced layers with x~0.5.
In the current presentation we will discuss differences and similarities in the coalescence processes of GaN, InN and InxGa1-xN nanorods by plasma-assisted MBE.
5:15 AM - SS18.06
TiO2 Nanowires as a Wide Bandgap Dirac Material for High Performance FETs
Gabriele Penazzi 1 Peter Deak 1 Balint Aradi 1 Tim Wehling 1 2 Alessio Gagliardi 3 Huy Huynh Anh 4 Binghai Yan 1 Thomas Freuenheim 1
1University of Bremen Bremen Germany2University of Bremen Bremen Germany3University of Rome "Tor Vergata" Roma Italy4University of Can Tho Can Tho Viet Nam
Show AbstractDirac materials, like graphene or topological insulators, offer the opportunity to realize fast electronic due to large suppression of backscattering. Even though fast graphene transistors have been already realized, the lack of a genuine band-gap result in small on-off ratios. In order to realize technologically competitive switches, a bandgap in excess of 0.4 eV is needed. Transmission gaps can be opened in graphene by means of lateral confinement or functionalization; devices based on different two dimensional materials like dichalcogenides or Boron Nitride, have been also proposed and realized. However while astonishing on/off ratios have been achieved, in these cases the Dirac linear dispersion, and thus the high mobility, are sacrificed. From this point of view, good switching behavior and high speed seems to be mutually exclusive.
We recently demonstrated [1] that this is not strictly true: Dirac states in proximity of a bandgap can be realized starting from wide band semiconductors whether certain conditions are fulfilled. These conditions are indeed present in an experimentally available system: thin anatase TiO2 nanowires. Thanks to their peculiar tendency to self-assembly in one dimensional structures which possess a screw axis, Dirac states in proximity of a large bandgap could be observed in these systems. Conveniently, TiO2 can be easily doped n-type. By emplying accurate ab-initio calculations, we could verify that Nb-doping tends to highly perturb the linear dispersion, Ta-doping preserves the Dirac states very well. Moreover, according to our calculations, the localization induced by impurity scattering is moderate, allowing for quasi-ballistic transport over relevant distances. By estimating the elastic and inelastic scattering processes we demonstrate that a Field Effect Transistor based on Ta-doped TiO2 nanowires can possibly be realized. The possibility to switch between the classical and the Dirac states and the existence of a genuine bandgap lead to high on-off ratio higher than 10e5, but without destroying the low scattering characteristics important for high frequency applications.
[1] Deák, P.; Aradi, B.; Gagliardi, A.; Huy, H. A.; Penazzi, G.; Yan, B.; Wehling, T. & Frauenheim, T. Nano Letters, 2013, 13, 1073
[2] Huynh Anh H., Aradi B., Frauenheim T. & Deák, P.; J. Appl. Phys., 112,016103 (2012)
5:30 AM - SS18.07
Ultraviolet Photodetector Fabricated from WO3 Nanowires/Reduced Graphene Oxide Composite Material
Dali Shao 1 Mingpeng Yu 2 3 Jie Lian 2 Shayla Sawyer 1
1Rensselaer Polytechnic Institute Troy USA2Rensselaer Polytechnic Institute Troy USA3Tsinghua University Beijing China
Show AbstractUltraviolet (UV) photodetectors have been investigated for various commercial and military applications, such as secure space-to-space communications, pollution monitoring, water sterilization, flame sensing and early missile plume detection [1]. To date, many different wide bandgap semiconductors such as GaN, ZnO, Si3N4, and In2O3nanostructures have been extensively studied for UV photodetector applications [2-5]. However, only a few researchers reported tungsten oxide (WO3) nanomaterial for UV radiation detection [6, 7].
In this work, an UV photodetector was fabricated from WO3 nanowires (NWs)/reduced graphene oxide (RGO) composite material. The WO3 NWs/RGO composite material was synthesized using a facile three-step synthesis procedure. First, the Na2WO4/GO precursor was synthesized by homogeneous precipitation. Second, the Na2WO4/GO precursor was transformed into H2WO4/GO composites by acidification. Finally, the H2WO4/GO composites were reduced to The WO3 NWs/RGO via hydrothermal reduction process. The UV photodetector showed fast transient response (on the order of milliseconds) and high responsivity (9 A/W), which are attributed to improved carrier transport and collection efficiency through graphene. The excellent material properties of the WO3 NWs/RGO composite demonstrated in this work may open up new possibilities for using WO3 for future optoelectronic applications.
References:
[1] T. V. Blank and Y. A. Gol&’dberg, “Semiconductor photoelectric converters for the ultraviolet region of the spectrum”, Semicond. 37, 999-1030 (2003).
[2] D. Li, X. Sun, H. Song, Z. Li, Y. Chen, H. Jiang and G. Miao, “Realization of a High-Performance GaN UV Detector by Nanoplasmonic Enhancement”, Adv. Mater. 24, 845-849 (2012).
[3] C. Soci, A. Zhang, B. Xiang, S. A. Dayeh, D. P. R. Aplin, J. Park, X. Y. Bao, Y. H. Lo and D. Wang, “ZnO Nanowire UV Photodetectors with High Internal Gain”, Nano Lett. 7, 1003-1007 (2007).
[4] J. Y. Zhang, Y. X. Chen, T. L. Guo, Z. X. Lin and T. H. Wang, “Sub-band-gap photoconductivity of individual α-Si3N4 nanowires”, Nanotech. 18, 325603 (2007).
[5] D. Shao, L. Qin and S. Sawyer, “High Responsivity, Bandpass Near-UV Photodetector Fabricated From PVA-In2O3 Nanoparticles on a GaN Substrate”, IEEE Photonics J. 4, 715-720 (2012).
[6] K. Huang, Q. Zhang, F. Yang and D. He, “Ultraviolet Photoconductance of a Single Hexagonal WO3 Nanowire” Nano Res. 3, 281-287 (2010).
[7] L. Li, Y. Zhang, X. Fang, T. Zhai, M. Liao, X. Sun, Y. Koide, Y. Bando and D. J. Golberg, “WO3 nanowires on carbon papers: electronic transport, improved ultraviolet-light photodetectors and excellent field emitters”, Mater. Chem. 21, 6525-6530 (2011).
5:45 AM - SS18.08
Energy-Efficient Localised Growth of SnO2 Nanowires on Micromembranes for the Fabrication of Advanced Low Power Gas Sensors
Jordi Sama 1 Sven Barth 2 Juan Daniel Prades 1 Olga Casals 1 Isabel Gracia 3 Carles Cane 3 Albert Romano-Rodriguez 1
1Universitat de Barcelona (UB) Barcelona Spain2Technical University Vienna Vienna Austria3IMB-CNM-CSIC Bellaterra Spain
Show AbstractOne-dimensional semiconductor nanostructures with adjustable morphologies, dimensions, crystallographic phases, and orientations are a topic of intense research due to the large different fields in which they can be employed, like electronics, sensing, energy harvesting, etchellip; Several different techniques have been successfully used for the growth of high quality semiconducting nanowires that usually employ furnaces in which the whole substrate is heated for the effective fabrication of the nanowires. These approaches are not cost-effective nor allow the localized growth of the nanowires, which limits their incorporation into certain devices.
Recently [1] we have developed a new strategy for the site-specific growth of nanowires on predetermined regions of micromachined substrates that, at the same time, will constitute the final device. This has been demonstrated by the localized growth of high quality Ge and SnO2 semiconducting nanowires by the VLS mechanism, using molecular precursors, on top of micromachined suspended micromembranes or microhotplates, whose lateral dimensions are between 100 micrometers and 1 millimeter. These structures contain a buried heating element and surface interdigitated electrodes and use the heater to provide the required thermal energy for the synthesis of the nanowires.
In this work we will present the results we have obtained from growing SnO2 nanowires under varying heater temperatures and precursor conditions on top of micromembranes, as well as the gas response of these chemoresistors towards different toxic gases (CO, NO2, ...). The proposed fabrication strategy is energetically efficient because the electrical power required to grow the nanowires was limited to 50mW.
The obtained gas sensing results as well as influence of the growing conditions will be critically prsented. Further developments of the here-presented growth techniques will be discussed with the view on functional nanowire-based devices.
[1] S. Barth et al., Chem. Commun. 2012, 48, 4734.
SS14: Characterization
Session Chairs
Thursday AM, December 05, 2013
Sheraton, 2nd Floor, Grand Ballroom
9:00 AM - *SS14.01
Atom Probe Tomography of Nanowire Heterostructures
Lincoln J. Lauhon 1
1Northwestern University Evanston USA
Show AbstractThe nanoscale diameter and high aspect ratio of nanowires are the foundation of fascinating structure-property relationships derived from confinement, interface effects, and mechanical degrees of freedom. When heterostructures are formed by high-quality growth of dissimilar materials on or within nanowires, the interactions of the low-dimensional components and their interfaces can give rise to electronic, photonic, magnetic, and thermal characteristics that are superior to those of (or unattainable in) planar geometries. Along with these compelling opportunities come formidable challenges to characterization. In particular, nonplanar heterojunctions are not easily analyzed by transmission electron microscopy-based or other methods. Ultimately, one would like to know the chemical identity and spatial position of each atom in a specimen. Towards this end, we have developed sample preparation methods that enable local electrode atom probe tomography (APT) of nanowire heterostructures. Furthermore, the extension of pulsed laser atom probe to the UV enables the analysis of wide bandgap semiconductors. The presentation will describe our recent advances in the APT characterization of Ge-Si, GaN-InGaN, and GaAs-AlGaS nanowire heterostructures for optoelectronic and energy applications. Of particular interest is the distribution of dopants, the uniformity of ternary alloys, and the abruptness of nonplanar heterojunctions, each of which is difficult or impossible to analyze by other methods.
SS19: Poster Session II
Session Chairs
Tobias Voss
Anna Fontcuberta i Morral
Qihua Xiong
John J. Boeckl
Chennupati Jagadish
Thursday PM, December 05, 2013
Hynes, Level 1, Hall B
9:00 AM - SS19.01
Homogenizing Interfacial Transport of Nanowire Ensemble Photodiodes by Using a Tunneling Insertion
Yi Shi 1 Yun Sheng 1 Jianyu Wang 1 Fan Gao 1 Huabin Sun 1 Junzhuang Wang 1 Shancheng Yan 2 Rong Zhang 1 Youdou Zheng 1
1Nanjing University Nanjing China2Nanjing University of Posts and Telecommunications Nanjing China
Show AbstractSemiconductor nanowires are emerging as potential active materials, optoelectronic functionalities have been intensively studied. In practical applications, a photodiode (PD) necessarily integrates with multiple nanowires, and the photoresponse performance rely on interfacial transport of individual nanowires in the ensemble. Unfortunately, electrical inhomogeneity occurs, because individual transport conditions are inconsistent with multiform geometries, instantaneous interfacial states, etc. This situation negatively affects the stability and reproducibility of the photoresponse and other functionalities. Therefore, homogenizing the interfacial transport is highly significant. Modification by introducing a dielectric insertion is a valuable approach for thin-film PDs, which can adjust barrier heights, passivate surface states, prevent metallic diffusion. However, few attention was paid to the unfavorable influence of interfacial transport inhomogeneity and relevant modification.
In this report, we discuss the issues of nanowire ensemble PDs, and report on Interfacial transport homogenization by using a tunneling insertion. Experimentally, an ultrathin Al2O3 layer is inserted between the ZnO nanowires and the Schottky contact of a nanowire ensemble PD. The performance is improved to exhibit a stable on/off ratio of 1600 and quick decay time of 0.1 s, without steep fluctuations under illumination. Theoretical models are applied to analyze interfacial transport, demonstrating that homogenized tunneling across the insertion dominantly controls the transport fluctuation originated from the inconsistent interfacial states of individual nanowires. The introduced insertion eliminates the negative influence of electrical inhomogeneity on devices and suggests developing potentials for diverse applications based on nanowire ensembles.
9:00 AM - SS19.02
On the Torsional Mechanics of Multiwalled Carbon and Boron Nitride Nanotubes
Eric Perim 1 Jonathan Garel 2 Ernesto Joselevich 2 Douglas S. Galvao 1
1State University of Campinas Campinas-SP Brazil2Weizmann Institute of Science Rehovot Israel
Show AbstractCarbon and boron nitride nanotubes share many structural and mechanical properties due to their similar bond length values, sp2-like hybridization and tubular structures. However, their electronic structures are quite distinct, with carbon tubes being semiconductor or metallic while boron nitride ones are large bandgap insulators.
The torsional mechanical behavior of multiwalled carbon nanotubes (CNTs) and multiwalled boron nitride tubes (BNTs) are also very distinct [1,2]. For BNTs the rotational sliding among layers was observed to be quite difficult, while for CNTs this is assumed to frequently occur [2]. Consequently, BNTs behave as a strongly coupled material, while for CNTs the layers can rotate independently. It has been proposed [2] that this differentiated behavior is a consequence of the high mechanical coupling among BN layers, which limits its internal frictional movements.
In this work we have investigated the precise origin of these phenomena through fully atomistic reactive (ReaxFF force Field) molecular dynamics simulations. We have considered multiwalled nanotubes of different diameters and chiralities. The calculated torsional barriers for BNTs are typically one order of magnitude higher than the corresponding ones to CNTs. Our results validate the main aspects of the proposed layer locking mode model [2], but also showed that in order to explain the detailed torsional mechanics of BNTs and CNTs it is necessary to also consider other aspects beyond the interlayer locking mechanisms.
[1] T. Cohen-Karni et al, Nature Nanotechnology, v1, 36, 2006.
[2] J. Garel et al, Nano Lett., v12, 6347, 2012.
9:00 AM - SS19.03
Investigation of Stacking Faults and Dislocation Defects in Wurtzite Nanowires
Fei Meng 1 Song Jin 1
1University of Wisconsin Madison Madison USA
Show AbstractStacking fault is an important class of crystal defects commonly observed in nanowires (NWs) of close-packed crystal structures, bridging the transition between hexagonal wurtzite (WZ) and cubic zinc-blende (ZB) phase, with the most known example represented by the “NW twinning superlattice”. It is well known that the presence of stacking fault alters the electronic and mechanical properties of NWs; therefore we need to understand the formation mechanisms of stacking faults in order to better control them. On the other hand, screw dislocations, another type of crystal defect, have been shown to drive the growth of NWs under the proper supersaturation level. Here we provide a new perspective to examine the formation of stacking faults associated with screw dislocations. We synthesized NWs of WZ aluminum nitride (AlN) via a catalyst-free vapor phase growth as the model system to study crystal defects. Transmission electron microscopy (TEM) and associated electron diffraction techniques revealed that the screw dislocation-driven mechanism was responsible for the growth of AlN NWs, while numerous stacking faults and partial dislocations were also observed. High-resolution TEM characterization confirmed that all of these stacking faults to be the Type I and partial dislocations to be the Frank type. Similar features were also observed in other NWs with WZ structure, such as cadmium sulfide (CdS) and zinc oxide (ZnO). Based on these observations, we suggested a formation mechanism of stacking faults that originate from screw dislocations.
9:00 AM - SS19.04
Synchrotron X-Ray Scattering Studies of Poly(lactide) Electrospun Fibers Containing Carbon Nanotubes
Yazhe Zhu 1 Bin Mao 1 Peggy Cebe 1
1Tufts University Medford USA
Show AbstractCarbon nanotubes(CNTs) often serve as an effective nucleating agent that facilitates the crystallization of semicrystalline polymers. Here we study the influence of CNTs on thermal and structural properties of Poly-lactide (PLA), which is well-known as a biodegradable and biocompatible thermoplastic polymer. The effect of CNTs on the crystallization and melting behavior of electrospun fibers of poly (L-lactide) (PLLA, with 100% L-isomer) and poly (D-lactide) (PDLA, containing 4% D-isomer) was systemically studied by scanning electron microscopy (SEM), differential scanning calorimetry (DSC), and real time synchrotron wide-angle X-ray scattering (WAXS) . Multi-walled CNTs were co-electrospun with the poly(lactides) in weight ratios ranging from 0.1 to 4.0 wt% MW-CNT. The morphologies of neat and CNT-filled electrospun nanofibers were observed by scanning electron microscopy. The impact of CNTs on the phase structure of electrospun PLA fibers as a function of the amount of CNTs was investigated in DSC. The heat capacity increment at the glass transition showed that the amorphous chain content increased with an increase in the amount of CNTs in both PDLA and PLLA semicrystalline fibers. Induction of crystal growth by CNTs was stronger in PLLA than in PDLA. The crystal growth kinetics in the fibers was determined by real-time WAXS. On increasing the content of CNTs from 0.1 to 4.0 wt%, the induction period for crystallization was shortened and the crystallization rate was enhanced. However the results also showed that at the highest concentration of CNTs the ultimate crystallinity was reduced.
9:00 AM - SS19.05
Optical Properties of Triangular Gold Nanoframes
Mohammad Mehdi Shahjamali 1 Michel Bosman 2 Freddy Boey 1 Can Xue 1 Shuzhou Li 1
1Nanyang Technological Uniersity Singapore Singapore2Institute of Materials research and engineering (IMRE) Singapore Singapore
Show AbstractNanoframes are novel and interesting class of hollow nanostructures both for fundamental studies and applications. Due to the strong plasmonic fields resulting from the coupling between the external and internal surface plasmon fields, in general, the magnetic fields were found to be larger for hollow nanostructures than for solid ones with same dimensions.
In the present article, a facile method of synthesis of triangular gold Nanoframe (TGNF) is introduced and the plasmon field strengths of these TGNFs with different size and wall thickness have been determined by electron energy-loss spectroscopy (EELS) and theoretical computation (using the finite difference time domain method). In addition, the dependence of plasmon field strength on the ridge thickness and the size of the nanoframes has been determined and compared with solid triangular nanoprisms(SNPs). These investigations can be used to engineer hollow TGNFs for specific plasmonic applications such as Biosensing and SERS applications.
9:00 AM - SS19.06
Fe-Doped Titania Nanotubes: Magnetism and Catalysis
Pegah M. Hosseinpour 1 Jing Liu 1 2 Felix Jimenez-Villacorta 1 Dario A. Arena 2 Latika Menon 3 Don Heiman 3 Laura H. Lewis 1
1Northeastern University Boston USA2Brookhaven National Laboratory Upton USA3Northeastern Univeristy Boston USA
Show AbstractEngineering the band gap of titania (TiO2) nanotube arrays via Fe doping is a promising approach to improve visible light absorption aimed towards more efficient utilization of the solar energy in applications such as photocatalysis [1]. Designing proper nanotube synthesis and processing conditions is critical to tailoring these nanostructures for improved functionality. In the current study, FexTi1-x alloys (x= 0.1 to 0.5) are fabricated by rapid solidification followed by subsequent anodization to produce nanotube arrays. Rapid solidification allows metastable retention of Fe (~ 4 %) in the Ti metal, a result that is not possible to attain with equilibrium synthesis techniques. As-synthesized amorphous Fe-doped titania nanotube arrays are then subjected to varied processing conditions (annealing temperatures up to 350 °C in reducing and oxygen-rich atmospheres) to induce crystallization. In this manner it is possible to attain a better understanding of the fundamental relationships between nanotube crystallinity, electronic structure, and magnetic and catalytic properties. The nanotube crystal structure is studied with X-ray diffraction and the magnetic behaviour is characterized with vibrating sample magnetometry (VSM). Synchrotron-based X-ray absorption spectroscopy at the titanium and iron L2,3-edges and oxygen K-edge is employed to examine the electronic structure and local order of the Fe-doped nanotubes in their as-anodized and annealed states. Structure-functionality relationships in these nanostructures are elucidated by correlating the photodegradation rate of methyl orange (a model water pollutant) under UV-Visible light exposure with the physical properties of the nanotubes. Information obtained from this study will enhance the understanding of the magnetic and photocatalytic properties of Fe-modified TiO2 nanotubes in order to optimize their functionalities towards a greener environment.
This material is based upon work supported by the National Science Foundation (Grants No. DMR-0906608 and DMR-0907007). Use of the National Synchrotron Light Source, Brookhaven National Laboratory, was supported by the U.S. Department of Energy, Office of Basic Energy Sciences (Contract No. DE-AC02-98CH10886).
Reference:
[1] G. K. Mor, H. E. Prakasam, O. K. Varghese, K. Shankar, C. Grimes, Nano Letters, 7 (2007) 2356.
9:00 AM - SS19.07
Torsional Mechanics of Graphyne-Based Nanotubes: A Molecular Dynamics Investigation
Jose M. Sousa 1 Vitor R. Coluci 2 G. Brunetto 1 Douglas S. Galvao 1
1State University of Campinas Campinas-SP Brazil2Universidade Estadual de Campinas Limeira Brazil
Show AbstractGraphene [1] is a two-dimensional structure consisting of an array of carbon atoms in a hexagonal honeycomb form. However, graphene is not the only possible 2D structure. In principle, many other 2D structures are possible, as for example, the so-called graphynes [2]. Graphyne is a family of 2D allotropic formed by carbon atoms in sp and sp2 hybridized states. They were proposed by Baughman, Eckhardt and Kertesz [2] and some graphyne-like structures have been recently synthesized [3].
From a topological point of view, single-layer carbon nanotubes (CNTs) can be considered as a sheet of graphite (graphene) rolled into cylindrical form of different chiralities. Similarly, graphyne sheets can be rolled up to create graphyne-based nanotubes (GNTs) [4,5]. GNTs even preserve the same CNT (n,m) nomenclature.
Besides their unique electronic properties, CNTs also exhibit remarkable mechanical properties. Of particular interest is their torsional mechanics behavior, which has been object of intense theoretical and experimental investigations [6,7]. A natural question is what is the GNTs torsional behavior in comparison to CNTs.
In this work we have investigated the elastic response to mechanical twisting load of a series of graphyne-based single walled nanotubes. We have considered tubes of different diameters and chiralities. The torsional analyses were performed considering two cases; one with both tube ends fixed (constant length), and also with just one end fixed (the tube length is allowed to vary under torsion). The torsion is continuously applied until the mechanical rupture of the tubes occurs. These analyses were carried out based on fully atomistic molecular dynamics simulations using the ReaxFF force field [8], as implemented in the LAMMPs code [9].
Our results show that the GNTs are much more resilient to torsions than CNTs. For all the investigated cases the relative energy necessary to rotate the tubes are significantly smaller in the GNT cases. Another interesting aspect is that GNTs exhibit a significant reconstruction of the carbon bonds during the mechanical ruptures, which was not observed for CNTs. This can be explained by the presence of triple bonds in graphynes, which makes the structures much more reactive and also by the fact the graphynes are porous structures.
[1] K. S. Novoselov et al, Science v306, 666 (2004).
[2] R. H. Baughman et al., J. Chem. Phys. 87, 6687 (1987).
[3] G. Li et al, Chem. Commun., v46, 3256 (2010).
[4] V. R. Coluci et al., Phys. Rev. B 68, 035430 (2003).
[5] V. R. Coluci et al., Nanotechnology 15, S142 (2004).
[6] P. A. Williams et al., Phys. Rev. Lett. 89, 255502 (2002).
[7] Zhao Wang et al., Surface Science. 604, 496-499 (2010).
[8] A. C. T. van Duin, S. Dasgupta, F. Lorant, and W. A. Goddard III, J. Phys. Chem. A 105, 9396 (2001).
[9] S. Plimpton, J. Comp. Phys. V117, 1 (1995), http://lammps.sandia.gov/.
9:00 AM - SS19.08
Growth of Vertically Aligned Carbon Nanotubes on Ni Nanodots Fabricated with a Lithography-Free Process Based on Nanodiamond Particles, Application to Field Emission Cathodes
Jean-Paul Mazellier 1 Hugues A. Girard 2 Florian Andrianiazy 1 Stephane Xavier 1 Laurent Gangloff 1 Jean-Charles Arnault 2 Costel S. Cojocaru 1 Jean-Luc Maurice 1 Philippe Bergonzo 2 Pierre Legagneux 1
1Nanocarb Laboratory, Thales - Ecole Polytechnique Palaiseau France2CEA, LIST, Diamond Sensors Laboratory Gif-sur-Yvette France
Show AbstractWe focused here on a new technique to pattern metallic catalyst nanoparticles in order to produce density controlled vertically aligned carbon nanotubes (VACNT) arrays. It is based on the use of diamond nanoparticles acting as hard masks to etch a thin catalyst layer.
VACNTs can be catalytically grown by plasma enhanced chemical vapor deposition (PECVD). A catalyst nanoparticle (NP) can lead, under adequate PECVD condition, to the growth of a VACNT localized at the same position. Subsequent VACNT exhibits a radius directly correlated to the catalyst NP volume. Thus, for a given catalyst NP size and surface density, one can control the VACNT diameter and the average distance between neighboring VACNTs. Nickel catalyst NPs are commonly used for VACNTs PECVD growth. The direct manipulation of Ni NPs is complex because they are highly toxic and flammable. We developed a simple and efficient way to directly produce Ni NPs on a substrate. A thin Ni film is evaporated on the substrate, and then diamond nanoparticles, or nanodiamonds (NDs), are deposited on the catalyst film using an electrostatic grafting technique [1]. This layer-by-layer approach results in a uniform coverage of non overlapping NDs on the surface with spacing in the range of few hundred nanometers (the density depends on the solution concentration). As diamond etch rate is lower than nickel one under ion beam etching (IBE), NDs act as hard mask during the etching of the Ni film. NDs can be simply removed by NH3 plasma in order to reveal Ni NPs. VACNTs are subsequently grown by PECVD using NH3/C2H2 chemistry.
As NDs are available in size down to 5nm, Ni NPs of the same size can be fabricated on wafer, which would be extremely difficult using standard lithography technics. Furthermore NDs are commercially available in large quantities, are relatively cheap and easy to use (NDs are non toxic, can be easily stored and manipulated). Moreover, this technique is easily scalable to large wafer or surfaces.
We demonstrate that VACNTs exhibiting low diameters (down to 5 nm) and a length of a few hundred nanometers can be grown by this method. VACNTs quality is asserted by many characterizations such as Raman spectroscopy and transmission electron microscopy.
As VACNTs are particularly well adapted to realize vacuum electron field emission cathodes [2], we tested our samples in a dedicated setup in order to measure their performances as vacuum cathodes. We demonstrate that these new VACNTs based cathode can exhibit high current density up to 200mA/cm^2.
[1] H. A. Girard et al., “Electrostatic Grafting of Diamond Nanoparticles: Versatile Route to Nanocrystalline Diamond Thin Films,” ACS Applied Materials & Interfaces, vol. 1, pp. 2738-2746, 2009.
[2] B.K. Teo et al., ‘&’ Microwave devices: Carbon nanotubes as cold cathodes&’&’, Nature, vol. 437, pp. 968
9:00 AM - SS19.09
Nanometer-Resolved Emission Characteristics of Individual InGaN/GaN Dot-in-a-Wire Nanostructures by Scanning Transmission Electron Microscopy
Steffi Y. Woo 1 2 3 Mathieu Kociak 4 Hieu Pham Trung Nguyen 5 Zetian Mi 5 Gianluigi A. Botton 1 2 3
1McMaster University Hamilton Canada2McMaster University Hamilton Canada3McMaster University Hamilton Canada4Universitamp;#233; Paris-Sud XI Orsay France5McGill University Montreal Canada
Show AbstractTernary InGaN alloys have been investigated for the application of light-emitting diodes because of bandgap energies (0.7 eV for InN and 3.4 eV for GaN) that can be tailored to have emission wavelengths spanning the entire visible spectrum. The growth of III-N compounds in a nanowire (NW) geometry has been a promising alternative for its inherent strain relaxation laterally to prevent the formation of dislocations. Additionally, the spinodal decomposition of InGaN alloys that often hinders the growth of In-rich InGaN epilayers, is actually advantageous in the formation of quantum dots (QDs) in the recently developed InGaN/GaN dot-in-a-wire nanostructures [1]. With the successful achievement of high quality, almost defect-free III-N heteroepitaxy with the NW geometry, further characterization with scanning transmission electron microscopy (STEM) is necessary to correlate their structural to optical and electrical properties. In this work, multiple InGaN/GaN dot-in-a-wire nanostructures grown on Si(111) substrates by molecular beam epitaxy were characterized by aberration-corrected STEM. Atomic number (Z-contrast) sensitive high-angle annular dark-field (HAADF) imaging showed that the InGaN QD series are centrally confined within the active region, embedded between n- and p-doped GaN in the NW heterostructure. Electron energy-loss spectroscopy (EELS) was used to elucidate the systematic non-uniformity of In-content within the InGaN QDs in individual NWs. To corroborate these observations to the inhomogeneous broadening observed in photoluminescence (PL) spectroscopy from an ensemble of NWs, nanometer-resolution cathodoluminescence spectral imaging on single NWs was performed using a custom-made system on a VG HB-501 STEM described in [2]. Individual NWs examined showed varying optical properties. Typically, NWs exhibit one main emission peak centered at ~550 nm in the yellow-green with a FWHM of up to ~100 nm. This is consistent with the broad PL peaks, indicating that the broadened emission originates from within single NWs. The evidence of localized emission intensity in the QDs towards the p-GaN, likely due to the diffusion of charge carriers generated by the nanometer-sized electron beam, could suggest the accumulation of carriers within the active region towards the p-doped side. In other cases, unique emission characteristics with multiple spectral features arise from the active region, showing apparent spatial origin dependence of the spectral shifts. The diffusion of the charge carriers needs to be taken into account, but these changes in emission wavelengths can be attributed to the observed In-content variation between successive QDs. The effects of the strain distribution on the carrier confinement and luminescence properties within these nanostructures will also be discussed.
[1] H.P.T. Nguyen et al., Nano Lett., 12(3), 1317-1323 (2012).
[2] Zagonel et al., Nano Lett., 11(2), 568-573 (2011).
9:00 AM - SS19.10
Synthesis and Characterization of Metal-Semiconductor Core-Shell Nanowires for Photovoltaics Applications
Beniamino Sciacca 1 Sander Mann 1 Sebastian Oener 1 Erik Garnett 1
1AMOLF Amsterdam Netherlands
Show AbstractMetal semiconductor core-shell nanowires offer several advantages over thin film architectures as building blocks for photovoltaics. These include efficient carrier collection in radial nanowire junctions (Tian et al., Chem. Soc. Rev., 2009) and strong optical resonances that can be tuned to maximize absorption (Mann et al., Nano Letters, 2013). With an appropriate interface, the metal core can provide both a local electric field to help with charge separation and a transparent electrode contact for charge collection. In this scenario a simple evaporated Ohmic contact could provide the other contact for a complete device (Garnett et al., Annual Review of Materials Research, 2011). For this scheme to work, the quality of the semiconducting shell and the nature of the interface are extremely important to provide sufficient carrier mobility and low enough recombination (Dimitriadis et al., Journal of Materials Science Letters 2, 1983). Here we will demonstrate a solution phase synthesis procedure to obtain core-shell Ag-Cu2O nanowires with outstanding control over the resulting structure. In particular, we will show that under the appropriate experimental conditions silver nanowires can be used as a nucleation site for the epitaxial growth of single crystalline cuprite shells at room temperature in a water environment. By tuning the synthetic parameters, various core diameters and shell thicknesses can be obtained, leading to fine control over optical resonances and ultimately light absorption. A variety of characterization techniques supporting these results will be presented including XRD, SEM, EDS, EELS, HRTEM, and SAED analysis. Furthermore, we will show optical characterization (extinction and absorption) of single core-shell nanowires and compare the results to theory. This hybrid core-shell architecture that leads to extreme light trapping and displays excellent material quality is promising for the realization of cheap and efficient solar cells.
9:00 AM - SS19.100
Electrophoretic Directed Assembly and 2-D Transfer Printing of Single-walled Carbon Nanotubes for Nanoscale Devices
Mehmet Cem Apaydin 1 Cihan Yilmaz 1 Sivasubramanian Somu 1 Ahmed Busnaina 1
1Northeastern University Boston USA
Show AbstractSingle Walled Carbon Nanotubes (SWNTs) are one of the promising novel nanomaterials for future nanoscale electronics due to their metallic and semiconducting properties, and 1D charge transport capability. A typical requirement of most applications is to form SWNT thin films at a monolayer or few monolayers, but to fully utilize their unique characteristics, the SWNTs must be aligned with the direction of current flow. Thus, control over the position of SWNTs and the electronic characteristics on the substrate layouts are critically important for scalability and reproducibility. In this research, we present the electrophoretic directed assembly of carbon nanotube bundles onto the source template and a 2D transfer printing method to the final device. An average nanotube density of 40 SWNTs/mu;m (per unit channel width in micrometers) is obtained for a single device. The thickness of the directed assembly is tunable down to a monolayer of SWNTs. Finally, a highly efficient 2D transfer printing is used that will enable the integration to transistor and sensor applications by making use of high electron mobility, high surface area of SWNTs, and enhanced flexibility.
9:00 AM - SS19.101
Powerful Characterization Tool for Predicting Active Catalyst Support in Carbon Nanotube Growth
Placidus B. Amama 1 2 Shawn A. Putnam 3 Ahmad Islam 1 Andrew R. Barron 4 5 Benji Maruyama 1
1Air Force Research Laboratory Dayton USA2University of Dayton Dayton USA3University of Central Florida Orlando USA4Rice University Houston USA5Swansea University Swansea United Kingdom
Show AbstractA simple, reliable, and non-destructive tool based on contact angle measurements is described for predicting the activity of catalyst supports in carbon nanotube (CNT) carpet growth. The basic component of the surface free energy of different alumina supports - determined from the van Oss-Good-Chaudhury model and the Young-Dupré equation - was found to correlate with the activity of Fe catalyst. The observed trend in the Lewis basicity of the alumina films was further corroborated by direct characterization of the hydroxyl enrichment on the various surfaces using X-ray photoelectron spectroscopy. Given that the properties of a thin film are dependent on the deposition process, this tool will benefit efforts in optimizing the efficiency of CNT carpet growth, extending potentially to non-alumina catalyst supports.
9:00 AM - SS19.103
Versatile Fe Nanoparticle-Vertically Aligned Carbon Nanotube Hybrid Structures
Yanxi Li 1 Yaodong Yang 2 Zhiguang Wang 1 Jianjun Yao 1 Ravindranath Viswan 1 Jiefang Li 1 D. Viehland 1
1Virginia Tech Blacksburg USA2Xiamp;#8217;an Jiaotong University Xiamp;#8217;an China
Show AbstractThe multi-functional properties of vertically aligned carbon nanotubes (VACNTs) make them important in nano- and micro-electronics areas due to their large surface area, good chemical and thermal stability, excellent mechanical properties and unique one-dimensional (1D) nanostructure. With the hope of discovering new properties and applications, much effort has been devoted to developing hybrid materials of carbon nanotubes and various kinds of nanomaterials. In our work, using pulsed laser deposition (PLD) techniques, Fe nanopaticles have been conformal coated on positive template VACNTs, which conformably transferred the regular 2D thin film structures into 3D nanorod structure for functionality from providing an additional degree of freedom of the interconnection.
The surface morphology, cross-sectional image and topography of the Fe-CNT hybrid nanostructures were investigated by X-ray diffractometer (XRD), field-emission scanning electron microscopy (FE-SEM) and high resolution transmission electron microscopy (HR-TEM) techniques. The nanoparticle-VACNT hybrid materials presented much better ferromagnetic properties compared with the Fe thin film directly deposited on Si substrate, from the measurement of superconducting Quantum Interference Device (SQUID). Furthermore, by applying a magnetic field to provide external force for the deposited Fe, which then affected the VACNT inside, we could change the surface topography of the sample and eventually achieve the surface energy altering for the whole hybrid structure. These Fe-CNT hybrid nanostructures would have potential applications in various fields such as sensors, fuel cells, field effect transistors, scanning probes, etc.
9:00 AM - SS19.104
Modeling Functionalized Graphene Nanoribbon Aggregation and Assembly in Solution
Jonathan D. Saathoff 1 Paulette Clancy 1
1Cornell University Ithaca USA
Show AbstractThere has been considerable recent interest in graphene nanoribbons, or GNRs, because their reduced width opens a sizeable band gap. The need to process graphene in suspension has led to a significant amount of computational studies of the assembly of suspended GNRs. Depending on the relative dimensions of the GNRs, this assembly can give rise to different nanostructures, including carbon nanoscrolls and other folded conformations [1]. This is due to the inherent flexibility of long GNRs and the strong van der Waals interactions between adjacent layers. Making suspended GNRs with pristine edges that are only a couple of nanometers wide, as seen in these simulations, is very difficult in most standard graphene production methods, and “bottom-up” synthetic approaches, which fulfill these requirements are thus of great interest. In addition, a synthetic approach imparts the capability to add regularly spaced side groups along the edges of the GNRs. If this bottom-up approach is to be used in device fabrication, the GNR assembly needs to be controlled either to reduce aggregation, or to promote the formation of regular arrays of GNRs on a substrate. While previous computational and theoretical work has shown the general assembly behavior of the GNRs, it invariably neglects the effects of polar solvents in aggregation, and the possibly ameliorating effects of adding side groups to lessen self-aggregation. Some computational work on carbon nanotubes and pristine graphene sheets has captured some of these effects [2, 3]. However, because of geometric differences between the systems, the effects of extended side chains and solvents on these GNR systems can be quite different.
Our study of these systems takes advantage of Molecular Dynamics techniques to look at the dispersibility of GNRs in polar solvents with and without a variety of non-ionic side chains. The dynamics of both alkoxy and PEG side chains of varying widths will be demonstrated in different solvents using MD. We will also show how these properties affect assembly and aggregation behavior in solvent. This will be supported in part by calculations of the potential of mean force (PMF) performed over different possible paths of two aggregating GNRs using steered molecular dynamics (SMD) and other methods. The use of these methods will highlight differences between GNR systems and the sheets and carbon nanotubes previously mentioned. The effect of different side groups on reaction path accessibility and overall differences in free energy will also be examined. These methods could be used to down-select promising modifications to lessen unwanted aggregation effects.
[1] Xu, Z.; Buehler, M. J. ACS Nano 2010, 4, 3869-3876.
[2] Shvartzman-Cohen, R.; Nativ-Roth, E.; Baskaran, E.; Levi-Kalisman, Y.; Scleifer, I.; Yerushalmi-Rozen, R. J. Am. Chem. Soc. 2004, 126 14850-14857.
[3]Shih, C. J.; Lin, S.; Strano, M. S.; Blankschtein, D. J. Am. Chem. Soc. 2010, 132, 14638-14648.
9:00 AM - SS19.105
Metal-Oxide/Aluminum Coaxial Nanowires for Nano-Energetic Applications
Zhizhong Dong 1 Jafar F Al-Sharab 2 Bernard H Kear 2 Stephen D Tse 1
1Rutgers Univ Piscataway USA2Rutgers University Piscataway USA
Show AbstractCoaxial nanowires array comprising of tungsten-oxide (WO2.9) nanowires (diameters of 20-50 nm and lengths of >10 µm) coated with aluminum thin film (thickness of ~16 nm) has been produced by using a novel combined flame and electrodeposition synthesis method. The outer Al layer (growth direction of [110] and [1 -1 0]) is grown directly on the surface of WO2.9 nanowire forming an atomically abrupt interface. Also, the interfacial Al2O3 passivation layer between the aluminum and metal-oxide is strategically eliminated. Such kind of coaxial nanowire (thermite composite) shows a promising direction for the optimization of heat release characteristics caused by anisotropic arrangement of metallic fuel and metal-oxide oxidizer. In this work, the ignition and combustion of as-obtained thermite arrays are also investigated, showing strong exothermicity. Furthermore, such nano-thermite composite would be very useful for the fundamental study of aluminothermic reactions, as well as enhancing combustion characteristics.
9:00 AM - SS19.106
Functional VO2 Nanobeam Phase Transition Devices Triggered by External Strain
Bin Hu 1 Jun Zhou 1
1Huazhong University of Science and Technology Wuhan China
Show AbstractVarious phases of VO2 have been observed since the discover of Mott transition, and these phases can be modulated by manipulation of various stimuli. In our study, we employed external strain to trigger the phase change in VO2 nanobeam, and two types strain-sensitive devices were fabricated utilizing different phase transition mechanisms. One is based on the insulating phase transition of M1 and M2, and the competition mechanism between the insulating phases was observed by in-situ confocal Raman microscopy and the ratio of M1/M2 in nanobeam can be well controlled by external strain. This principle was utilized for assembling high performance strain sensor with quick and reversible response and the high gauge factor (~347). Another device is based on the insulating-metallic phase transition of VO2 nanobeam that benefited from its typical strong correlation characteristic. Combining self-heating and external strain these two environmental stimuli, the insulating and metallic phase of VO2 nanobeam can be switched through coupling the bias voltage and applied strain, which was used for high-performance electro-mechanical switch fabrication. The switch is very fast and stable, more importantly, we achieved the single-domain transition, thus allowing the device with four orders of magnitude change in resistance.
Compared with traditional devices, the performance of above two strain-sensitive devices are notably improved, and the strain sensor can be applied in the fields where need to monitor tiny strain such as bridge engineering and optical fiber manufacturing, while the electro-mechanical switch has the potential applications in robot sense, logic gates.
9:00 AM - SS19.108
On PVDF- Multiwalled Carbon Nanotube Composites
Jesus Alfredo Aguirre 2 Maximiliano Villarreal 2 Luis Galvan 2 Alfonso Salinas 2 Elamin Ibrahim 3 Dorina M Chipara 1 Karen Lozano 2 Mircea Chipara 1
1The University of Texas Pan American Edinburg USA2The University of Texas Pan American Edinburg USA3The University of Texas Pan American Edinburg USA
Show AbstractThe physical properties of polymers can be modified by using nanofillers. One-dimensional nanofibers, such as carbon nanotubes are known to improve the Young modulus, to enhance the thermal stability, and eventually to impart new physical properties such as electrical or thermal conductivity. These changes are reflecting the formation of an interface between the nanofiller and the polymeric matrix.
A research on nanocomposites obtained by dispersing multiwalled carbon nanotubes (MWCNT) within polyvinylidene fluoride (PVDF) is reported. Nanocomposites of PVDF-MWCNT containing various amounts of weight fraction of nanofiller (ranging between 0 % to 30 %) are reported. PVDF is a piezoelectric, pyroelectric, and ferroelectric polymer. These properties are critically affected by the crystalline phase. Consequently, the investigation of the changes of the crystallinity of the polymeric matrix due to the nanofiller has a particular importance due to the expected effect on the final ferroelectric properties of the as obtained nanocomposite.
The polymeric matrix and the nanofiller have been mixed together by using a mechanical mixer equipped with two counter-rotating screws. Electron microscopy confirmed that the filler is uniformly dispersed within the polymeric matrix. The as obtained samples have been investigated by various experimental techniques: TGA was used to quantify the thermal stability of the nanocomposite. Taking into account the high thermal stability of the polymeric matrix, TGA measurements have been performed in the temperature range 50 oC to 1000 oC. The analysis has been performed at a heating rate of about 10 oC/min, both in air and in nitrogen atmosphere. The TGA of the nanocomposite in air revealed the competition between the thermal degradation of the polymeric matrix and of the nanofiller. DSC data have been recorded in the temperature range -60 oC to 400 oC, revealing the effect of multiwalled carbon nanotubes on the glass transition temperature, melting temperature, and crystallization temperature. A detailed analysis of crystallization process including the isothermal DSC and the not isothermal DSC (using various heating rates ranging between 1 oC/min and 25 oC/min) has been performed.
Additional spectroscopic data have been obtained by Raman and FTIR spectroscopy. The analysis is focused on the effect of the polymeric matrix on the D and G Raman bands of multiwalled carbon nanotubes. The experimental data are consistent with the formation of an interface between carbon nanotube and the polymeric matrix.
9:00 AM - SS19.109
Nano-Scaled Carbon Nanotube Transistors Fabricated by Inkjet Printing
Seonpil Jang 1 Bongjun Kim 1 Michael L. Geier 2 3 Pradyumna L. Prabhumirashi 2 3 Mark C. Hersam 2 3 Ananth Dodabalapur 1
1University of Texas at Austin Austin USA2Northwestern University Evanston USA3Northwestern University Evanston USA
Show AbstractRecently, diverse research on solution based process has attracted tremendous interest in electronics field. Solution based processes are cost effective by reducing the use of material as well as eliminating expensive vacuum deposition processes. A novel printing process, such as roll to roll printing or ink-jet printing, can be applied on flexible and large area substrates. Inkjet printing has huge potential to reduce material wastage and energy consumption in electronics manufacturing by controlling the quantity and location of the ink droplet. Printable semiconductors, such as conjugated polymers, semiconducting metal oxides, and carbon based materials, are cost-effective, high-performance semiconducting material for large-area inkjet printed electronics[1]. Single-walled carbon nanotube (SWCNT) thin film transistors (TFTs) have been extensively investigated for use in nanoelectronic devices because of their high carrier mobility and potential applications in transparent, flexible, high-speed, high current and high-frequency electronics[2].
We demonstrate inkjet printed SWCNT TFTs which have nano-scaled channel lengths (<250nm). High purity semiconducting SWCNTs were dispersed in an organic solvent and inkjet printed on gate dielectric layer of sol-gel processed zirconium dioxide (ZrO2). We applied several surface treatments to control the surface energy of the ZrO2 layer, which is essential in controlling the droplet size of CNT ink and linear density of CNTs in the channel region. UV O3 treatment was the most effective method to increase the surface energy, which resulted in uniform distribution of single tubes in the small channel length. The channel between source and drain electrodes was mostly comprised of single SWCNTs without forming a CNT network. We present the fabrication and electrical characteristics of single SWCNTs based nano-scaled TFTs. These TFTs exhibit high linear mobility, exceeding 20 cm2V-1s-1, and an Ion/Ioff > 103 with around 30 single tubes in the channel region. We also show the temperature dependent TFT characteristics including the temperature-dependent mobility and information about the nature of the charge carrier motion in these devices.
[1] Matsuzaki, S. et al. Inkjet Printing of Carbon Nanotube Complementary Inverters., Applied Physics Express, 4, 105101 (2011), [2] Nobusa, Y. et al. Inkjet printing of single walled carbon nanotube thin film transistors patterned by surface modification., Applied Physics Letters, 99, 183106 (2011).
9:00 AM - SS19.11
Insights from Molecular Dynamics Simulations on the Formation of Helical Carbon Nanotube Ropes
Leonardo D. Machado 1 Ricardo Paupitz 2 Douglas S. Galvao 1
1State University of Campinas Campinas-SP Brazil2Universidade Estadual Paulista Rio Claro Brazil
Show AbstractHelical structures are ubiquitous in nature, and recently it has been found that perfect, free-standing, single-walled carbon nanotubes (SWNT) can be arranged in helical ropes [1]. The presence of torsion is known to open or modify the CNT band gap [2], and the mechanical properties of the ropes may also depend on the presence and degree of helicity. In order to explain these experimental results a model combining linear elasticity (to estimate the cost to bend and twist the tube to a helical shape) and energy calculations based on a simplified density functional theory method was proposed [1]. Within this model it was found that each pair of SWNTs has an optimal pitch length that minimizes deformation cost and maximizes attractive van der Waals (vdW) interactions, which may lead to a stable helical configuration for certain types of tubes.
In this work we have analyzed the dynamics of formation of these helical ropes using fully atomistic calculations, carried out with the LAMMPS molecular dynamics package [3]. We used the CHARMM force field in our simulations, which provided a reliable description of van der Waals interactions and of deformations in the elastic regime, while enabling us to study large systems (containing millions of atoms) for long simulation times (>1 ns). We used long (1 micron) free-standing SWNTs of different types, in an initial configuration where only a small part of each tube make contact with each other. It was found that for some CNT types the system naturally evolved to a helical rope configuration. We also analyzed how the energies and stresses evolved during the dynamics, and found that for sufficiently long tubes very little stress remained in the final structures.
[1] D. Teich, G. Seifert, S. Iijima and D. Tomanék, Phys. Rev. Lett., v108, 235501 (2012).
[2] C. L. Kane and E. J. Mele, Phys. Rev. Lett., v78, 1932 (1997).
[3] [9] S. Plimpton, J. Comp. Phys. v117, 1 (1995), http://lammps.sandia.gov/.
9:00 AM - SS19.110
Characterization of Electrospun Ceramic Nanofibers by Electron Microscopy
Matthew Janish 1 Fei Huang 1 Lichun Zhang 2 Vahid Rastegar 1 Nathan Martin 1 Jason Chan 1 Bonnie B. McKenzie 3 Joseph R. Michael 3 Chris Cornelius 1 C. Barry Carter 1
1University of Connecticut Storrs USA2University of Connecticut Storrs USA3Sandia National Laboratories, Albuquerque, NM 87185-1405 Albuquerque USA
Show AbstractWhile the process of electrospinning fibers has been known for over a century[1], it was the rise of
nanomaterials in the 90s that transformed it from an obscure technique to one that is both academically and commercially interesting. Compared to other nanofiber fabrication processes, electrospinning has the advantage of being cheap and relatively high volume. Its resurgence was initially directed towards polymer nanofiber membranes, but as the process has matured it has been extended to both metal [2] and ceramic fibers. Here we describe the characterization of TiO2/SiO2 composite nanofibers that are being produced for use as membranes in energy production and storage applications.
A field-emission scanning electron microscope (SEM) was used for preliminary analysis and to determine whether the specimens were suitable for examination by transmission electron microscopy (TEM). The SEM secondary electron images revealed fiber diameters ranging from 100 nm to 10 um. Fiber composition is controllable with Ti:Si ratios of 1:3, 1:1, 3:1, and 9:1 being verified by X-ray energy dispersive spectrometry (XEDS).
A SEM/focused ion beam (FIB) was used both to examine fiber cross-sections and to prepare
cross-section specimens for the TEM. After milling away the conductive surface of a fiber it was discovered that the core is highly insulating, as the image became distorted due to charging effects. This suggests a core-shell morphology wherein an insulating core is surrounded by a conductive outer layer.
The fibers were spun directly onto a 3mm copper TEM grid with a holey carbon support film. The preliminary TEM analysis will be reported.
[1] S. Ramakrishna et al, Materials Today 9, 3 (2006) 40-50.
[2] H. Wu et al, Nano Letters 10 (2010) 4242-4248.
[3] C.B. Carter and M.G. Norton, Ceramic Materials: Science and Engineering, Springer 2007.
[4] M.T.J. would like to acknowledge the Institute of Materials Science at the Univ. of Conn. for access to the JEOL 6335F FESEM, FEI Strata 400S DualBeam FIB, and FEI Tecnai T12 TEM; and the Center for Integrated Nanotechnologies at the Sandia National Labs for access to their equipment. Funding for this project was provided by the Department of Education's GAANN fellowship program. B.B.K. And J.R.M. are supported by CINT. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy&’s National Nuclear Security Administration under contract DE-AC04-94AL85000.
9:00 AM - SS19.112
Mechanical Properties of Gold Nanotips Encapsulated with Carbon Nanocones
Pedro A. S. Autreto 1 2 Ricardo Paupitz 3 Abraham C. Cano-Marquez 4 Wesller G. Schmidt 4 Jenaina R. Soares 4 Luiz G. Cancado 4 Wagner N. Rodrigues 4 Douglas S. Galvao 1 Ado Jorio 4
1State University of Campinas Campinas Brazil2Rice University Houston USA3Universidade Estadual Paulista Rio Claro Brazil4Universidade Federal de Minas Gerais Belo Horizonte Brazil
Show AbstractGold is not only one of the best conductors of electricity, but it is also ductile, malleable and compatible with some biomaterials. Due to these properties it is still used in important applications in nanoelectronics, nanoelectromechanics and nanoplasmonics. However, its use as nanotips in some applications (such is, in enhanced Raman spectroscopy) is limited by its mechanical structural fragility. In order to overcome these difficulties, we have manipulated multiwalled carbon nanocones (MWNCs) to encapsulate chemically etched produced gold nanotips.
MWNCs exhibit unique structural, mechanical, chemical, and electronic properties, and they are stiffer than carbon nanotubes The conical shapes makes them ideal to encapsulate conical-like metallic tips. The mechanical properties of these composite systems (MWNCs plus gold tips) were studied using atomic force microscopy (AFM) and in situ Raman spectroscopy experiments, which provided real time information about their structural stability.
Our results show that when bare gold tips are repeatedly pushed against substrate/samples they became significantly deformed and lose performance. When the gold tip is covered with MWCNs, the composite system presents an enhanced structural stability. It is now possible to use the tip a larger number of × they can last up to one month of frequent use without losing image resolution (~ 5 nm). Under tip extreme compression conditions, it was observed the presence of short-chain carbon compounds (polyynes and cumulenic species), suggestive of the MWCNs mechanical fractures.
In order to gain further insights about these phenomena we have also carried out fully atomistic reactive molecular dynamics simulations of representative models. We have considered single and multiwalled carbon nanocones covering gold nanotips. The system is dynamically pushed against a substrate and the mechanical stability, energy and force profiles, and fracture patterns analyzed. Our results show that indeed the carbon chain can be originated from the cone fractures. These reactive triple bonds can easily react with hydrogen, oxygen and nitrogen atoms present in the air. These results are consistent with the signatures of these chemical bonds observed in the Raman spectra. The fracture patterns extracted form the simulations can help to explain the increased mechanical stability as we observed that besides protecting the gold tip to direct contact with the substrates/samples, the cones efficiently accumulate and dissipate the strain/stress, thus expanding the elastic regime of the gold tips and help to keep its physical integrity.
These composite systems (cones plus gold tips) are very promising structures to be used in a large number of applications where resilient and elastic tips are required.
9:00 AM - SS19.113
Structure of Nanoparticles during the Cobalt-Catalyzed Carbon Nanotube Growth
Yusuke Kohigashi 1 Hideto Yoshida 2 Seiji Takeda 2
1Osaka University Osaka Japan2Osaka University Osaka Japan
Show AbstractIt is well-known that carbon nanotubes (CNTs) grow from nanoparticles via catalytic chemical vapor deposition. The size and structure of nanoparticles affect the structure of CNTs. It is therefore important to clarify the structure of nanoparticles during the growth of CNTs. Using environmental transmission electron microscopy (ETEM), we have already clarified that CNTs grow from structurally fluctuating iron carbide (Fe3C) and iron molybdenum carbide (Fe,Mo) 23C6 nanoparticles during the iron-catalyzed CNT growth [1-3]. In this study, we aimed to clarify the structure of nanoparticles during the cobalt-catalyzed CNT growth by atomic-scale in-situ ETEM observations.
As catalysts, cobalt was deposited on a Si substrate covered with a thin SiO2 surface layer by vacuum evaporation. After the deposition, the substrate was placed on a heating holder and transferred to the ETEM operated at 200 kV. Then, the substrate was preheated at 600 °C in vacuum. Subsequently, acetylene gas was introduced into the ETEM and we observed the growth of CNTs. As a result, we obtained high-resolution TEM images of nanoparticles during the CNT growth.
[1] H. Yoshida, S. Takeda, T. Uchiyama, H. Kohno, Y. Homma, Nano Lett., 8, 2082 (2008).
[2] H. Yoshida, T. Shimizu, T. Uchiyama, H. Kohno, Y. Homma, S. Takeda, Nano Lett. , 9, 3810 (2009).
[3] H. Yoshida, H. Kohno, S. Takeda, Micron, 43, 1176 (2012).
9:00 AM - SS19.115
Solution-Processed Vertically Oriented Organic Nanowires and Organic/Inorganic Core/Shell Heterostructures
Yue Wang 1 2 Jaime A. Torres 1 2 Shan Jiang 1 2 Xiangfeng Duan 1 2 Richard B. Kaner 1 2
1University of California, Los Angeles Los Angeles USA2California NanoSystems Institute Los Angeles USA
Show AbstractVertically oriented organic and organic/inorganic heterojunction nanowires are of great technological importance, yet have been difficult to achieve. Here, we demonstrate a one-step, room-temperature, solution-processed method for growing vertically oriented single-crystalline organic (semi)conductor nanowires (e.g. tetraaniline, sexithiophene) by using a graphene-coated substrate. The sizes, densities, orientations, and deposition locations of these nanowires can be readily controlled. Large area devices have been fabricated by sandwiching the vertical nanowires in-between two layers of graphene, which connects the nanowires in parallel and thus adds up the current. Furthermore, we demonstrate that these organic nanowire arrays can serve as a versatile template for growing vertically oriented inorganic nanowires (e.g. Au, ITO, CdS), organic/inorganic core/shell heterostructures (e.g. PEDOT/ITO), or inorganic nanotubes (e.g. SiO2, Ni). These novel structures are currently being exploited for a variety of applications including solar cells, batteries, sensors, and interfacing with biological systems.
9:00 AM - SS19.116
Photoelectrochemical Study of N-Type Gallium Phosphide Nanowires Photoanode
Wen Wen 1 Stephen Maldonado 1
1University of Michigan Ann Arbor USA
Show AbstractSolar cells require the carrier diffusion length exceed the light absorption length. Increasing the carrier diffusion length requires expensive, high purity materials that inhibit economic scalability. Nanowires (NWs), with their high aspect ratio, provide a means of reducing the length required to extract the excited carriers with potential cost incentives. Gallium phosphide (GaP) have been grown using vapor-liquid-solid (VLS) chemical vapor deposition (CVD) catalyzed with 40Å of evaporated gold (Au). GaP NWs utilized a solid source GaP powder for their growth on GaP substrates, which avoids the toxic phosphorus precursor. GaP NWs doping was also investigated. Characterization of the NWs was performed through: scanning electron microscopy (SEM), energy dispersive spectroscopy (EDS), transmission electron microscopy (TEM), spectral response and current-voltage (I-V) characteristics. The spectral response statistically show GaP NWs electrode have higher quantum efficiency than a planar GaP near bandgap region.
9:00 AM - SS19.117
Feedstock Controlled Catalytic Growth of Carbon Nanotube-Graphene Hybrid Systems
Mohsen Nasseri 1 David Patrick Hunley 1 Abhishek Sundararajan 1 Mathias J. Boland 1 Douglas R. Strachan 1
1University of Kentucky Lexington USA
Show AbstractHybrid carbon nanotube and graphene structures are emerging as an exciting material system built from a common sp2 carbon backbone yet with mixed one and two dimensionality. Such hybrid systems have promise for use in improving the performance of energy storage and high-speed electronic applications. Recently we have demonstrated carbon nanotube growth on the surface of few-layer graphene films where their growth arrangements suggest crystallographic alignment with the underlying graphene lattice [1]. In this previous work, we used the etched graphene itself as the feedstock for these crystallographically aligned carbon nanotubes. Here we explore the selective catalytic growth of carbon nanotubes on graphene through systematic externally-controlled variation of carbon feedstock. Low feedstock rates yield the simultaneous catalytic etching and CNT growth observed previously. We find that slightly increasing the carbon feedstock rate promotes carbon nanotube growth on graphene while inhibiting catalytic etching. At even greater feedstock rates both carbon nanotube growth on graphene and etching are inhibited, with only carbon nanotube growth over the insulating substrate remaining. Finally, the highest feedstock flow rates used cause the formation of etch pits on graphene layers. These results are important for selectively controlling the growth of carbon nanotubes on graphene surfaces for large-scale applications of this promising hybrid sp2 material.
1. D Patrick Hunley, Stephen L Johnson, Joseph K Stieha, Abhishek Sundararajan, Aaron T Meacham, Ilia N Ivanov, and Douglas R Strachan, "Crystallographically Aligned Carbon Nanotubes Grown on Few-Layer Graphene Films," ACS nano 5 (8), 6403-6409 (2011).
9:00 AM - SS19.118
Low Cost Scalable Self-Assembly of Silver Nanowire Thin Films
Changfeng Chen 1
1Nanotrons Corporation Woburn USA
Show AbstractAssembly of nanowires into ordered macroscopic structures has attracted great scientific interests in the past decade. In this Letter, we report a rapid low cost scalable oil-water interfacial self assembly process for fabricating aligned Ag nanowires (AgNWs) films on solid substrate. This process is much simpler than the traditional LB techniques and allows the assembly of one-dimensional Ag nanowires onto any solid substrates without extra pretreatment of the surface of silver nanowires or the solid substrate. The present aligned AgNW films can serve as robust surface-enhanced Raman scattering (SERS) sensors for chemical and bimolecular detection with improved spectra quality and demonstrated uniformity of SERS signal over the whole substrate.
9:00 AM - SS19.119
Fracture Toughness of Aligned Carbon Nanotube Polymer Nanocomposites
Sunny Wicks 1 Brian Wardle 1
1MIT Cambridge USA
Show AbstractCarbon nanotubes (CNTs) are being investigated for application to numerous material disciplines including structural composite materials due to their unique scale-dependent physical properties. With extraordinarily high specific strength and stiffness and nanoscale dimensions, CNTs are an attractive candidate for incorporation into laminated composites for interlaminar fracture toughness reinforcement. Mechanical properties limited by the matrix-dominated interlaminar region in traditional composites are typically reinforced through changing the fiber architecture as in stitching/weaving or modifying the matrix properties through tougheners and additives. Extensive work in the literature has explored the effect of nanotubes and other particles on polymer toughness and has demonstrated that brittle polymers can be made tougher through changing the polymer or adding rubbery particles to increase toughness by crack tip blunting, though at the cost reduced stiffness and strength. CNTs, on the other hand, have been shown to increase toughness through energy dissipation not through plastic deformation but rather crack bridging and pullout. Several groups have seen a transition from tough to brittle fracture for some ductile polymers under increasing CNT content, suggesting diminishing returns with added filler. All explorations on CNT-based polymer nanocomposites explore randomly oriented reinforcement with limited control over homogeneous dispersion.
In this work, we seek to understand the reinforcement mechanisms of aligned CNTs in a polymer matrix. Aligned CNTs grown on fibers in a nanoengineered composite architecture called Fuzzy Fiber Reinforced Plastics have been demonstrated to improve interlaminar fracture toughness. The CNT forests induce a swelling of the cloth tows causing fibers to spread out and apart, and the demonstrated improvements in Mode I toughness could be attributed to either the changes in matrix properties or the morphological change of the interlaminar region due to the swelling of the plies or a complex combination of both. The work here aims to decouple the two effects by determining the toughness of the matrix region in the absence of fibers, of aligned polymer nanocomposites over a range of volume fractions. Preliminary testing has begun using single edge notch beam specimens to isolate the fracture toughness of small CNT nanocomposites, and the mechanisms of reinforcement will be elucidated through tight control over CNT alignment and loading in two epoxy types, a brittle aerospace epoxy and tougher marine epoxy.
9:00 AM - SS19.12
The Role of Defects on the Tribological Properties of Carbon Nanotubes Yarns
Leonardo D. Machado 1 Tiago Botari 1 Douglas S. Galvao 1
1State University of Campinas Campinas-SP Brazil
Show AbstractCarbon nanotube (CNT) yarns are truly multifunctional materials with many technological applications [1]. Microscopically, a yarn is formed by CNT bundles of different sizes, held together by van der Waals (vdW) forces [2]. It has been proposed that the failure mechanism under tensile load is due to sliding of the fibrous elements that form the yarn [3]. Recent molecular dynamics simulations [4] also showed that preventing the sliding of CNT/bundles is critical for the success of the pulling process of a yarn from CNT forests.
It has been experimentally shown [5] that friction among CNTs depend strongly on the state and quality of the CNT surface: a high force is needed to move a as-grown chemical vapor deposition (CVD) nanotube that is in contact with another, while a much smaller force is needed to separate two CNTs that have been annealed to remove defects and amorphous carbon materials. Additionally, the force needed to separate highly crystalline CNTs do not depend on their overlapping surface area [5].
In this work we have investigated some of these aspects, considering tubes of different diameters and chiralities. These investigations were based on the results obtained from fully atomistic reactive molecular dynamics simulations, using the ReaxFF force field, as implemented in the LAMMPs code. We have contrasted the energy, force profile and friction patterns of perfect and defective CNTs placed at different configurations.
In order to obtain these data the following protocols were used: (1) we calculated energy single points for CNTs at different configurations/arrangements, obtaining energy profiles and; (2) we performed dynamical tests by applying an increasing force until CNT sliding occurs. Results for the defect-free CNTs help us to understand and to quantify the small friction values obtained, and also to explain why it is independent of the overlapping CNT length. In this case, because of the energy profile is very smooth, only the atoms near the tube ends opposes separation when the force is applied. This is especially true if the tubes are of different types and incommensurate. Results for CNTs with defects and containing quantities of amorphous carbon show that in this case there is an increase in friction, but that when amorphous carbon are present in large quantities this can cause the tubes to not fit closely together, thus decreasing the contact area and facilitating sliding. This can exploit to improve significantly the mechanical properties of CNT yarns.
[1] M. D. Lima et al., Science 338, 928 (2012).
[2] A. A. Kuznetsov, A. F. Fonseca, R. H. Baughman, and A. A. Zakhidov, ACS Nano, v2, 985 (2011).
[3] J. J. Vilatela, J. A. Elliott, and A. H. Windle, ACS Nano, v3, 1921 (2011).
[4] L. D. Machado, S. B. Legoas, and D. S Galvao. MRS Proceedings, 1407, mrsf11-1407-aa15-95 .
[5] O. Suekane, A. Nagataki, H. Mori, and Y. Nakayama, Appl. Phys. Exp., v1, 064001 (2008).
9:00 AM - SS19.121
Pure and Cu-Doped SnO2 Nanowires: Synthesis and Their Properties
Anima Johari 1 Anoopshi Johari 3 Mukesh Chandra Bhatnagar 2 Manish Sharma 1 Pratibha Goel 2
1Indian Institute of Technology Delhi New Delhi India2Indian Institute of Technology Delhi New Delhi India3THDC Hydropower institute of engineering and technology, Tehri Tehri India
Show AbstractWe report the growth of one-dimensional nanostructure of pure and Cu-doped Tin oxide (SnO2) by thermal evaporation process. The growth was carried out on silicon substrate at atmospheric pressure in a horizontal tubular furnace under nitrogen (N2) ambience. The surface morphology study reveals the growth of wire-like nanostructures of pure and Cu-doped SnO2 on Au (50nm)/Si substrate. The corresponding X-ray diffraction pattern confirms that the as-synthesized pure and Cu-doped SnO2 nanowires have tetragonal rutile structure with polycrystalline nature and also showed that Cu gets incorporated into the SnO2 lattice. The composition of nanowires confirms the doping of Cu into SnO2 nanowires and atomic fraction of Cu in nanowires is 0.6 at%. The Vapor Liquid Solid (VLS) growth mechanism for pure and Cu-doped SnO2 nanowires was also confirmed by EDX spectra. The optical properties of as grown pure and Cu-doped SnO2 nanowires were studied by using UV-vis spectra which concludes the band gap of about 3.91eV and 3.82 eV respectively. The gas sensing properties of as synthesized pure and Cu-doped SnO2 nanowires based sensor was studied and compared for various volatile organic compounds. The Cu-doped SnO2 nanowires exhibit comparatively good response.
9:00 AM - SS19.122
Interplay between the Faceted Solid-Liquid Interface Morphology and Kinetics During Vapor-Liquid-Solid Nanowire Growth
Moneesh Upmanyu 1 Hailong Wang 2 1
1Northeastern University Boston USA2Brown University Providence USA
Show AbstractAtomic-scale computational studies on Au-catalyzed growth of silicon nanowires reveal a fully faceted droplet-nanowire interface. We present a detailed analyze of the complex faceting of the interface, and then study the non-equilibrium dynamics due to a prescribed level of supersaturation within the droplet. The computations uncover the nanoscale kinetic mechanisms that significantly lower the nucleation barrier for layer-by-layer growth on the main facet, The implications for more general growth of semiconducting nanowires via the vapor-liquid-solid route are discussed.
9:00 AM - SS19.13
Production and Characterization of Carbon Nanotubes Networks by Ethanol Assisted Welding Method
Archi Dasgupta 1 Nestor Perea Lopez 2 Simin Feng 2 Jose Renato Cunha 2 Ana Laura Elias Arriage 2 Humberto Terrones 2 Mauricio Terrones 2 1
1Penn State University State College USA2Penn State University State College USA
Show AbstractInterconnecting carbon nanotubes (CNTs) is important in context of their use as building blocks in nanoscale electronics. So far, CNTs have been interconnected by welding the joint area at high temperatures by techniques such as electron beam irradiation, ion beam irradiation, or Joule heating. However these welding techniques are specific to a particular CNT intersection and do not lead to the production of bulk CNT networks. Here we report an easy and inexpensive welding technique to form multibranched junctions and networks of oxygen doped (COX), nitrogen doped (CNX) and multiwall carbon nanotubes (MWNTs). All CNTs were synthesized by catalytic chemical vapor deposition techniques and then dispersed in ethanol. CNTs films were deposited by drop casting the dispersions on Silicon wafers and allowing the evaporation of the solvent. These Si wafers were placed in the second furnace of a two furnace set up and Ar/H2 (10%) was bubbled through ethanol at 950 omicron;C for 1 hour at a flow rate of 1 Liter/minute. This treatment resulted in the formation of additional graphitic layers on CNTs. The newly created walls induced the coalescence of intersecting CNTs. The characterization of these CNT networks included scanning electron microscopy, transmission electron microscopy, Raman spectroscopy and thermo gravimetric analysis. The analyses demonstrate the formation of a plethora of junctions in the network (presumably covalent) that can potentially improve mechanical and electrical properties in comparison to the non-interconnected CNT networks.
9:00 AM - SS19.14
Preferential Synthesis of Semiconductor Single-Walled Carbon Nanotubes Based on theCatalyst Control Approach
Shunsuke Sakurai 1 Maho Yamada 1 Hiroko Nakamura 1 Don N Futaba 1 Kenji Hata 1
1National Institute of Advanced Industrial Science and Technology Tsukuba Japan
Show AbstractWe report here an approach to selective synthesis of semiconductor single-walled carbon nanotube (SWCNT). Selective synthesis of semiconductor-SWCNT was achieved by exposing iron catalyst array into the gas ambient containing small amount of H2O.
Selectivity of semiconductor-SWCNT was evaluated by the intensities of radial breathing mode (RBM) in Raman spectroscopy. Importantly, the selectivity (the ratio of semiconductor-SWCNT/metal-SWCNT) is strongly dependent on the diameter of SWCNTs. The selectivity evaluated for SWCNT within the diameter range of 0.8-1.1 nm was obtained from RBM intensity of metal-SWCNT (Raman shift: 200-280 cm-1, excitation laser: 532 nm) and semiconductor-SWCNT (Raman shifr 210-280 cm-1, excitation laser: 785 nm). Selectivity of semiconductor-SWCNT achieved to more than 98 % in the limited condition.
The advantage of our material was highlighted by high performance of FET device using the as-synthesized SWCNT film showing high on/off ratio (c.a. 10000) and mobility (> 10 cm2/Vs) at a relatively short channel length (10 mu;m).
The effect of H2O amount on the growth selectivity and the mechanism of this selective growth is also presented.
Acknowledgements
This research is granted by the Japan Society for the Promotion of Science (JSPS) through the “Funding Program for World-Leading Innovative R&D on Science and Technology (FIRST Program),” initiated by the Council for Science and Technology Policy (CSTP).
9:00 AM - SS19.15
Formation of Yttria-Stabilized Zirconia Nanotubes by Atomic Layer Deposition for High Efficient Solid Electrolytes
Hyunchul Kim 1 Changdeuck Bae 2 Kornelius Nielsch 2 Hyunjung Shin 1
1Sungkyunkwan University Suwon Republic of Korea2University of Hamburg Hamburg Germany
Show AbstractSince the discovery of carbon nanotubes (NTs), one-dimensional (1-D) nanostructures of functional oxides have attracted lot of interest due to the unique fundamental properties and potential applications in gas sensors, photo-electrodes in dye-sensitized solar cells, storage materials in Li-ion batteries. Especially, Tubular-structured materials, in particular, have shown unique chemical and physical behaviors because of their hollow core structures with few nanometer thick walls. Here in, we have presented the fabrication of Yttria-stabilized Zirconia (YSZ) NTs by atomic layer deposition (ALD) into ordered anodic aluminium oxide (AAO) templates. YSZ is used as the solid electrolyte in the solid-oxide fuel cell (SOFC), which enables oxygen ions to conduct. In order to achieve sufficient ionic conduction, an SOFC with an YSZ electrolyte must be operated at high temperatures (800°C - 1000°C). Recently, a considerable attention has been paid on SOFCs with the reduced loss of energy-conversion efficiency even at the lower operating temperatures. Because the efficiency of SOFCs is sensitive to electrolyte thickness, the use of nanometer-scale membranes is considered to be a promising path for improving the performance of SOFCs in the low temperature. Tetrakis(ethylmethylamino) zirconium, TEMAZr, and Tris(methyl cyclopentadienyl)yttrium, Y(MeCp)3, were used as precussors for deposition of Zr - and Y - containing oxides, respectively, and H2O was used as a reactant. For the fabrication of YSZ NTs, various numbers of Zr and oxygen ALD cycles (7 to 13) and one yttrium ALD cycle were repeatedly deposited to control the doping concentration. The structural characterization by X-ray diffraction (XRD) and transmission electron microscope (TEM) has evidenced the high crystalline quality of our YSZ NTs. The YSZ NTs had a high aspect ratio of more than 120 with 110 nm diameter and 14 um length. XRD pattern of the as-prepared YSZ NTs were cubic. And also, TEM analysis confirmed that YSZ NTs were polycrystalline with the grain size of tens of nanometers. Finally, YSZ NTs were investigated by electrochemical impedance spectroscopy (EIS) as a function of temperature and oxygen partial pressure. As-prepared YSZ NTs were dispersed on the SiO2 substrate and then platinum (Pt) electrodes were subsequently formed by E-beam lithography at both ends of YSZ NTs.
9:00 AM - SS19.16
Fabrication of Sno2 Nanotubes of Controlled Wall Thickness by Atomic Layer Deposition and Their Application of Anode Materials for Lithium-Ion Batteries
Jubong Lee 1 Hyunchul Kim 1 Hyunjun Yoo 1 Myungjun Kim 1 Seonhee Lee 1 Yunjeong Yang 1 Sorae Lee 1 Hyunjung Shin 1
1Sungkyunkwan University Suwon Republic of Korea
Show AbstractDevices of energy storage and conversion draw much attention recently, among the devices, lithium-ion secondary batteries for energy storage will play an increasingly important role. SnO2 as anode materials have attracted a lot of attention and are regarded as one of the most promising candidates for lithium-ion battery, with the theoretical reversible capacity of 782 mAhg-1 and low enough discharge potential of 0.01V. Like to other alloy-type anode materials, its practical application has been impeded by the poor cycling performance owing to the pulverization and subsequent electrical disconnection of the electrode caused by extremely large volume change (about 300%) during the insertion and extraction processes of lithium ions.
Li2O phases, produced by the reaction of SnO2 and lithium ions, are electrochemically inactive, which is the main reason for the large initial irreversible capacity. To overcome this problem, feasible strategy is to design the nanostructure. 1-dimensional nanotubular structures exhibit enhanced cyclability due to the short diffusion path of lithium ions within the wall of nanotubes and the local empty space in the core of tubular structures can accommodate its volume expansion. SnO2 nanotubes were synthesized by anodic aluminum oxide (AAO) template-directed ALD(Atomic Layer Deposition) process using tetraki (ethylmethylamino) tin (TEMASn) precursor and distilled water. SnO2 nanotubes were characterized by thermogravimetric/differential thermal analysis (TG/DTA), Scanning electron microscopy (SEM), Transmission electron microscopy (TEM) and X-ray diffraction (XRD).
The wall thickness of the SnO2 nonotubes can be easily controlled by the number of cycles during ALD processes. The porous SnO2 nanotubes exhibit high lithium ion storage capacity and fairly high rate performance when evaluated as anode materials. Advantages of using SnO2 nanotubes as anode materials will be discussed.
9:00 AM - SS19.17
Confined Crystallization of Hexagonally Close Packed Single - Crystalline Au Nanowire by Photo Reduction
Seonhee Lee 1 Hyunjung Shin 1 Hyunchul Kim 1 Myungjun Kim 1 Yunjeong Yang 1 Jubong Lee 1 Sorae Lee 1
1Sungkyunkwan Univ. Suwon Republic of Korea
Show AbstractOne dimensional (1D) nanostructures of noble metals, such as Au, Ag, and Pt, have attracted much attention due to their novel physical and chemical properties. There are many attempts to prepare Au nanoparticles (NPs) and/or nanorods (NRs) with the support of photocatalytic reduction process in the surface of TiO2. High aspect ratio (>500) Au NWs/TiO2 structure has rarely been reported. In this study, nanotubular structure of TiO2, which is widely used in photocatalytic applications as supports, was used as a key material to synthesis single crystalline Au NWs with high aspect ratio, without adding any surfactant and reducing agent. Crystalline anatase TiO2 nanotubes (NTs) were prepared by atomic layer deposition (ALD) with replications of anodic aluminum oxides (AAO) membranes. After ALD process, TiO2 NTs was removed from AAO membranes and dispersed on Si substrates, and then were irradiated by UV light in the solution of HAuCl4. As a result, maximum aspect ratio of ~ 500 single crystalline Au NWs with the length of 20mu;m and the diameter of 40 nm were obtained by perfectly filling in the confined inner cores of TiO2 NTs. An experimental investigation was also conducted to observe the influence of pH of the solutions. At low pH (< 4), multi-twinned Au NWs are formed, however, around pH 4.5, twin free or longitudinally twinned Au NWs are formed. Interestingly, when the pH below 6, Au are nucleated and grow as NWs only inside of TiO2 NTs. When pH above 6, Au are nucleated both inside and outside of TiO2 NTs. The number of necessary OH- ions, which are assumed to be directly responsible for the reduction of Au ions, will increase locally only inside of TiO2 NTs. Nanoscale confinement in the TiO2 NTs leads to OH- ion production and subsequently the growth of Au NWs along the pores. Even though the growth mechanism of one dimensional structure is difficult to ascertain, it is apparent that the nucleation event and the subsequent changes in surrounding media and confined structures of TiO2 NTs are crucial to the formation of single crystalline Au NWs with very high aspect ratio. And we observe HCP (Hexagonally Close Packing) Au nanowires by TEM, which have different crystal structure from the bulk gold. It is assumed that when the pore size of TiO2 NTs decrease, the surface energy plays an important role in formation of crystal structure of HCP Au NWs.
Au NWs embedded in TiO2 NTs can give tunable optical and electrical properties, and it can be controlled by the wall thickness of TiO2 and, thus diameter of gold NWs. Using AAO and ALD process are very good tool for controlling pore size, length and wall thickness of TiO2 NTs, as well as, resulting Au NWs. Thus, such novel heterostructures may have potential applications in luminescent displays, photocatalysts, plasmonics, and other advanced electronic devices.
9:00 AM - SS19.18
Single Crystalline NiO Nanowires Grown via VLS Mechanism and Their Properties on Resistive Switching Memory
Kazuki Nagashima 1 Takeshi Yanagida 1 Masaki Kanai 1 Gang Meng 1 Sakon Rahong 1 Fuwei Zhuge 1 Yong He 1 Tomoji Kawai 1
1The Institute of Scientific and Industrial Research, Osaka University Ibaraki Japan
Show AbstractVapor-liquid-solid (VLS) mechanism has been most widely used technique to fabricate one-dimensional single crystalline nanowires because of its controllability in size and position through a metal catalyst. Among various materials, metal oxide nanowires have been recently spotlighted due to their functionalities based on the unique electronic structure and ionicity. Conventionally, VLS grown oxide nanowires have been synthesized via empirical way. However, the kinds of available oxide nanowires are so far limited due to a lack of knowledge as to oxide VLS growth mechanism. Recently, we have theoretically and experimentally demonstrated the important role of vapor supply flux on the feasibility of conventional VLS oxide nanowire growth [1, 2]. Using a difference of nucleation event in VLS and VS growth, VLS nanowire growth can be enhanced by suppressing VS thin film growth. Here we demonstrate that our flux model can be applied to synthesize single crystalline NiO oxide nanowires. When the vapor supply flux is controlled carefully, NiO nanowires tend to emerge with the suppression of VS growth. Then the resistive switching memory characteristics of a single crystalline NiO nanowire are further examined. We found that the single crystalline NiO nanowire exhibits superior memory properties compared to conventional NiO polycrystalline nanostructure due to the absence of grain boundaries. Thus these results highlight that our theoretical model is applicable not only to understand the conventional oxide VLS mechanism but also to design the novel oxide nanowire growth. We believe that our methodology will pave the way to develop the novel unique nanodevices using various functional oxide nanowires.
[1] Phys. Rev. E 83, 061606 (2011)
[2] J. Phys. Chem. C 116, 24367 (2012)
9:00 AM - SS19.20
Electrolyte Surrounding-Gate FET by Mm-Long and Dense Carbon Nanotube Forest Sheet
Miho Myodo 1 Masafumi Inaba 1 Mikinori Kobayashi 1 Yukihiro Shintani 1 Atsushi Hiraiwa 1 Hiroshi Kawarada 1
1Waseda Univ. Shinjuku Japan
Show AbstractCarbon nanotubes (CNTs) are one of the promising materials for power handling electron device. Especially, densely aligned CNTs (CNT forest) formed as a sheet have the potential for large current control application. Here, we have investigated surrounding-gate FET of CNT by using electrolyte solution. Previously, we proposed electrolyte solution-gate FET of carbon for the first time and applied it for biomolecular detection by immobilization of DNA or RNAs[1,2]. In this work, we fabricated the electrolyte surrounding-gate FET with the CNT forest sheet as channel. Although forest is composed of both semiconducting and metal CNTs, the surrounding-gate is formed around CNT channel by penetration of electrolyte solution and effective current modulation is exhibited.
The mm-long CNT forest sheets with the CNT density of ~1011 cm-2 were synthesized using point-arc plasma CVD[3]. The surrounding-gate FETs were fabricated with these sheets as mm-long channels. Their gate width was ~3 mm, gate length was ~1 mm, and the thicknesses of these sheets were 300 mu;m.
From electrical measurement of the FET device, the modulation of drain current Ids under the gate voltage Vgs has been achieved above or below0.2 V, which behaves like Dirac point of Graphene FET. As a great amount of CNTs exist in CNT channel, the current modulation of 1 A was exhibited for the first time in CNT devices. However, this device cannot completely cut off current by applying Vgs because of metal CNTs. Ids did not saturate during Vds being 0 to 2 V, indicating that the device operates in the linear region. This is because the drain-source electric field is sufficiently small (~20 V/cm). The maximum transconductance normalized by the gate width at Vds = 2 V was ~1 S/mm. This high transconductance shows the possibility of application to large-current amplifications and high-sensitive sensors.
[1] K.S.Song, H.Kawarada, et al. ,Phys. Rev. E, 74, 041919 (2006)
[2] A.R. Ruslinda, H. Kawarada et al., Biosens.Bioelectron. (2012).
[3] G. Zhong, H. Kawarada et al., J. Phys. Chem. B 111 (2007).
9:00 AM - SS19.22
Novel Electronic Properties of Semiconductor Nanowires
Jun-Wei Luo 1 Lijun Zhang 1 2 Alex Zunger 2
1National Renewable Energy Laboratory Golden USA2University of Colorado Boulder Boulder USA
Show AbstractThe energy bands of 3D, 2D, and 1D structures are generally split at certain wavevector values into spin-components, a spin splitting that occurs even without external magnetic field and reflects the effect of spin-orbit interaction on certain symmetries. We show via atomistic theory that (1) 1D quantum-wires made of conventional zincblende semiconductors have unexpected zero SS for all electron and hole bands if the wire is oriented along (001) (belonging to D2d symmetry), and for some of bands if the wire is oriented along (111) (belonging to C3v symmetry). (2) We find that the predicted absence of Dresselhaus SS in both (001)-oriented and (111)-oriented 1D wires is immune to perturbations lowering their original D2d and C3v structural symmetries, such as alloying of the matrix around the wire or application of an external electric field. (3) Such perturbations induce only Rashba SS. (4) Our atomistic pseudopotential calculation predicted a novel large Rashba SS in GaAs/AlAs wires under electric field [1], which increases as the wire diameter increases to the potential benefit of nanowire MF device. This emerged automatically when the ordinary Schrodinger equation is solved in the presence of spin-orbit interaction. We will report such giant Rashba SS coefficient of the order of sim;200 meV Å in a number of semiconductor wire materials even for 100 nm large wires. This could be important for detecting Majorana Fermions (MFs).
MFs are particles identical to their own antiparticles that have been first theoretically predicted and then experimentally observed in hybrid superconductor-semiconductor nanowire devices. The appearance of MFs requires (spin-orbit-induced) giant NW SS to exceed the topological superconductor gap, a condition realized by tuning the magnetic field. Because the SS due to the conventional Dresselhaus or Rashba mechanism is inversely proportional to the wire diameter, these mechanisms contribute but vanishing SS (<< 1 meV Å) for wide (sim;100 nm) wires that are appropriate to device uses--a significant disadvantage of nanowire for this application.
[1] J.W. Luo, L. Zhang, and A. Zunger, Phys. Rev. B 84, 121303(R) (2011).
9:00 AM - SS19.23
The Dependence of Contact Resistivity at CNT/Sic Interface on Sic Doping Concentration: CNT New Application for Sic Power Devices
Megumi Shibuya 1 Masafumi Inaba 1 Kazuma Suzuki 1 Yoshiho Masuda 2 Atsushi Hiraiwa 1 Michiko Kusunoki 2 Hiroshi Kawarada 1
1WASEDA University Tokyo Japan2NAGOYA University Nagoya Japan
Show Abstract1. Carbon nanotube (CNT) forest for application of SiC power device
Recently, almost half of electric power is used in motor system. Highly efficient invertors made of SiC power devices are expected to contribute to the optimization of power conversion. In order to exhibit the high performance of SiC device, however, new electrodes for high current density, high temperature, and heat dissipation are desired. CNT can respond to the demand. CNTs synthesized on SiC surface by decomposition method (CNTs on SiC)[1][2] exhibit the most densely packed CNT forest (surface density: ~1013 cm-2) and is suitable for electrodes because of their high current density durability. A first step to reduce energy loss by CNTs, it is necessary to evaluate the contact resistivity at CNT/SiC interface. In this work, we evaluated its dependence of SiC dope concentration and its Shottky barrier height (SBH).
2. Evaluation of the contact resistivity at CNT/SiC interface by conductive AFM
CNT on SiC was fabricated by just heating on-axis C-face of n-type 4H-SiC substrate in vacuum at a temperature 1700 oC. In general, vertical conduction (in CNT axis direction) is dominant comparing to lateral conduction. However, lateral conduction also coexists in the CNT on SiC because of its high tube density (~1013 cm-2). Therefore, the contact resistivity at CNT/SiC interface can be separated from all resistivity through CNT to SiC by measuring various conduct areas. Current-voltage property was measured by conductive AFM.
3. contact resistivity dependence on SiC dope concentration
The contact resistivity at CNT/SiC interface was estimated as ~1×10-2 Omega;cm2 when SiC dope concentration is ~1×1018 cm-3 and ~3×10-2 Omega;cm2 when it is ~5×1017 cm-3. SBH becomes thicker and tunneling current increase when the doping concentration of SiC increases. As a result, the contact resistivity at CNT/SiC interface decreases. From this, Schottky barrier height can be revealed as ~0.5 eV. This SBH is very low for SiC and it leads that CNT on SiC may have ohmic contact with SiC in case the doping concentration of SiC is over 1019 cm-3. This result shows that CNT on SiC can be desirable electrode for SiC power devices which can solve future power problems.
[1] M. Kusunoki et al., Appl. Phys. Lett.77, 531 (2000)
[2] M. Kusunoki et al., Appl. Phys. Lett. 87, 103105 (2005)
9:00 AM - SS19.24
Fabrication and Photovoltaic Properties of Doped Semiconducting Single-Walled Carbon Nanotubes/Si Heterojunction Cells
Hironori Ogata 1 Atsushi Nakano 1 Mao Shoji 1 Jiyou Kim 1
1Hosei University Koganei Japan
Show AbstractRecently, several re-search groups reported on the properties of S-SWNT/Si heterojunction solar cells. However, their photovoltaic properties were greatly depend on the purity of S-SWNTs, the morphology(coverage or loading) of S-SWNTs film, and the structure of heterojunction interface between Si and S-SWNTs film. So, the intrinsic photovoltaic properties of S-SWNT/Si heterojunction solar cells are not fully under-stood. Photovoltaic cells based on both chemically doped n-type SWNTs/p-type Si heterojunctions and doped p-type SWNTs/n-type Si heterojunctions were fabri-cated and characterized their diode and photovoltaic characteristics. We chose three kinds of viologen, benzyl viologen (BV), ethyl viologen (EV), methyl viologen (MV) as n-type dopants for SWNTs. The photoelectric conversion characteristic were observed in all the viologen doped devices. The effects of oxygen gas on the photovoltaic characteristics were also investigated. The PCE of p-type SWNTs/n-type Si heterojunction cell increased by 33 % by exposing the cell for 60 minutes under oxygen atmosphere. The detailed fabrication method dependences on the S-SWNTs films on the photovoltaic characteristics will be presented.
9:00 AM - SS19.25
Realization of Single Si Nanowire Gate-all-Around Field Effect Transistor Device
JaeHyun Lee 1 2 3 Soon-Hyung Choi 2 3 Yamujin Jang 2 3 Sungwoo Hwang 3 Dongmok Whang 1 2 3
1SungKyunKwan University Suwon Republic of Korea2SungKyunKwan University Suwon Republic of Korea3Samsung Advanced Institute of Technology Yongin Republic of Korea
Show AbstractWe present a facile CMOS-compatible fabrication of lateral gate-all-around (GAA) field effect transistors (FET) based on concentric Si/SiO2/N++Si core/multi-shell nanowires (NWs). Si/SiO2/N++Si core/multi-shell NWs were prepared by sequential Si NW growth, thermal oxidation and Si deposition processes in single chamber. The GAA NW FET was then fabricated using the Si core, SiO2 inner-shell, N++ Si outer-shell as channel, gate dielectric, and gate electrode, respectively. One-step wet etching process was able to define the gate and source/drain contact regions. The SiNW GAA FET clearly exhibit geometry-dependent gating effect and ideally low subthreshold slope (~ 65 mV/decade) due to the low interface trapped charge density at the interface of Si core and SiO2 shell. Our proposed SiNW GAA structures offer new opportunities for low-energy-consumption digital device applications.
9:00 AM - SS19.26
Carbon Nanotube/n-Type SiC Heterojunction by Surface Decomposition of SiC: Growth and Electric Property
Takatoshi Yajima 1 Shigeya Naritsuka 1 Takahiro Maruyama 1 2
1Meijo University Nagoya Japan2Meijo University Nagoya Japan
Show AbstractCarbon nanotubes (CNTs)/semiconductor heterojunctions have been anticipated for application towards nanoelectronics. However, in the conventional CVD growth, catalysts are necessary to form CNTs, therefore, it has been difficult to fabricate CNT/semiconductor heterojunctions where CNTs and semiconductor surface were directly bonded each other. In this study, we fabricated CNT/SiC heterojunctions by the surface decomposition of SiC. In this method, CNTs can be formed only by heating SiC crystals in a vacuum, as a result, chemical bonds are formed between CNTs and SiC at the interface [1]. Through I-V and photoemission measurements, we investigated the electric and electronic properties of the CNT/SiC heterojunctions and determined the band alignments at the interface [2]. We also grew CNTs on SiC under various growth conditions and investigated the effects of the crystalline quality of CNT films on the transport properties.
N-type 6H- and 4H-SiC(000-1) substrates were used to form CNT/SiC heterojunctions. The grown CNT films were characterized by SEM, TEM and Raman spectroscopy. To investigate the electrical properties of CNT/SiC interface, I-V measurements were obtained. We also carried out photoemission measurements using synchrotron radiation to determine the band alignments.
As the growth temperature and time increased, the CNTs became longer and the CNTs of 4 mu;m in length were obtained after heating at 1780°C for 1 h. I-V measurements showed distinct rectifying behavior for CNT/SiC heterojunctions with CNTs of 190 and 230 nm in thickness. When the CNT length became longer than ~1 mu;m, the leakage current increased, which might be due to the deterioration of crystalline quality of CNTs at the interface. PES spectra revealed that the CNT films were metallic and that a band bending occurred, leading to an energy barrier formation at the interface.
[1] M. Kusunoki et al. Chem. Phys. Lett. 366 (2002) 458.
[2] T. Maruyama et al. Appl. Phys. Lett. 101 (2012) 092106.
9:00 AM - SS19.28
Scanning Thermal Microscopy: Sample-Nanotube Probe Interface Thermal Resistance Model
Maxim Nazarenko 1 2 Andrew Gallant 1 Michael Petty 1 Oleg Kolosov 3 Vladimir Dubrovskii 2 Dagou Zeze 1
1Durham University Durham United Kingdom2St Petersburg Academic University St Petersburg Russian Federation3Lancaster University Lancaster United Kingdom
Show AbstractScanning thermal microscopy (SThM) maps local temperature and thermal conductance at the nanometer scale using a thermal probe. The tip properties significantly affect spatial and thermal resolution and the measurement speed. Due to their unique geometry and thermal properties, multi-walled nanotubes (MWCNTs) are excellent at extending the capabilities of SThM probes[1]. The typical 20 nm contact diameter is achieved by controlled fabrication of MWCNT tips. Understanding the thermal conductance of such tips is crucial to achieving high performance nanoprobes (CNT-SThM). It is suggested that the Kapitza thermal resistance at the sample-MWCNT interface plays a key role in the heat transfer from or to the sample.
A theoretical framework is proposed to estimate the Kapitza resistance, where the physical properties and geometry of the MWCNT tip-sample interface are critical. Acoustic phonons heat transport is assumed both in the MWCNT and the sample. Given the sample-MWCNT interface is not atomically flat, the diffusive phonon scattering model is exploited, i.e. all the phonons arriving at the tip-sample interface exhibit the same scattering behavior, regardless of their origin. Traditionally, this model was applied to bulk solid and quantum liquid interfaces[2]. Using the detailed balance considerations and assuming a linear approximation for the dispersion relation, the model results in the Kapitza thermal resistance defined by the temperature, phonon propagation speed and density of states (DoS) in both the sample and the tip. The DoS depends on the material properties and, the sample is considered as a homogeneous isotropic half-space.
The basic model proposed may be adjusted to accommodate complex samples. The time-independent Schrödinger equation was applied to calculate the phonon energy spectrum and the DoS in the tip. The tip is modeled as a truncated cone, with typical 400 nm length, ~200 nm base and 20 nm top diameters. The phonons are confined within the tip boundary where radiative energy losses are negligible. Two methods are proposed to calculate the DoS: (i) a numerical solution which is relatively straight-forward to implement but time-consuming and (ii) a first-order perturbation theory which enables faster and comprehensive DoS calculations. Preliminary numerical calculations estimate the thermal resistance to be ~104 K/W, consistent with the literature.
In brief, the model developed can predict the Kapitza thermal resistance at the sample-MWCNT tip interface using the MWCNT tip geometry and the properties of the substrate&’s acoustic phonons. This opens the potential to study both the thermal and phonon properties of any sample using CNT-SThM probes. The case for CNT-SThM / graphene interface will be discussed.
We acknowledge support from the UK RAEng & EPSRC and EU FP7: FUNPROB (269169) & NanoEmbrace (316751).
References
[1] P Tovee et al, J. Appl. Phys.112 (2012), 114317.
[2] E.T Swartz et al, Rev. Mod. Phys. 61(1989), 605.
9:00 AM - SS19.29
Low Temperature CNT Growth for BEOL Interconnects
Daire Cott 1 Masahito Suguira 2 Johanes Vanpaemel 1 3 Marleen Van der Veen 1 Zsolt Tokei 1 Philippe Vereecken 1 3
1Imec Leuven Belgium2Tokyo Electron Ltd. Ibaraki Japan3KULeuven Leuven Belgium
Show AbstractIn future technology nodes, 11nm and beyond, Carbon nanotubes (CNTs) have the potential to become a back end of line (BEOL) interconnect alternative to Copper. High quality, defect free CNTs with densities up to 1013 CNTs/cm2 forming a low resistance contact is a crucial requirement to realise CNTs as conducting interconnections in CMOS technologies. This becomes extremely challenging owing to the temperature constraints imposed by CMOS compatible processing at the targeted interconnect level. This work focuses specifically on CNT quality (graphitic structure) and density in the temperature range 400-500 °C. A phase diagram of structure vs temperature is measured for two catalyst/support layers - Co/TiN and Ni/TiN. Thermogravimetric analysis (TGA) and electron microscopy are used to create a model to determine the CNT density dependency on growth temperature from mass measurements. At temperatures around 500 °C CNT wall densities in excess of 1 x 1012 for both Ni and Co/TiN combinations were determined. Interestingly for Ni/TiN, CNT wall densities in excess of 1011 could be maintained at temperatures around 400 °C while a significant decrease is observed for Co/TiN below 470 °C.
9:00 AM - SS19.30
Strategies for Selective Room-Temperature Ozone Sensing Using Zno Nanowires with High-Index Surfaces
Firat Gueder 1 Yang Yang 1 Andreas Menzel 1 Chunyu Wang 2 Julia Danhof 3 Volker Cimalla 2 Ulrich T. Schwarz 3 Margit Zacharias 1
1Institute of Microsystems Engineering (IMTEK),Albert-Ludwigs-University of Freiburg Freiburg Germany2Fraunhofer Institut famp;#252;r Angewandte Festkamp;#246;rperphysik Freiburg Germany3Institute of Microsystems Engineering (IMTEK),Albert-Ludwigs-University of Freiburg Freiburg Germany
Show AbstractThe occurrence of crystalline materials with high-index surfaces in nature is very rare due to high surface energies of such crystalline planes. In this study, we report a new synthetic route to convert ZnO nanowires with smooth nonpolar low-index surfaces to zigzagged high index polar surfaces using polycrystalline ZnO thin films deposited by atomic layer deposition (ALD).1
The c-axis oriented ZnO nanowires with low-index surfaces were fabricated using vapour deposition method and subsequently coated with a 5 nm thick ZnO particulate film by ALD to form ZnO-ZnO core-shell homostructures. The synthesized core-shell nanostructures were annealed at 800°C to transform the smooth ZnO nanowires to zigzagged nanowires with high-index polar surfaces. Room temperature ozone sensing responses for all three types of fabricated nanowire morphologies, namely nanowires with smooth low-index surfaces, ZnO-ZnO core-shell nanowires and zigzagged ZnO nanowires, were compared to determine the role of crystallographic surface planes on the sensitivity. While the smooth low-index and the ZnO-ZnO core-shell nanowires were largely non-responsive to varying O3 concentrations, zigzagged nanowires showed a clear sensitivity down to the ppb level. Furthermore zigzagged ZnO nanowires were highly selective toward ozone and showed no sensitivity for other oxidizing gases (i.e. O2 and NO2). The sensing mechanisms and the role of surface will be discussed in detail. Our work demonstrates a rational method for tailoring nanomaterial surfaces for specific functions which cannot be easily realized otherwise.
1 Güder et. al., Small 8 (21), 2012, 3307-3314
9:00 AM - SS19.31
Toward the Chemical Sensing Devices Based on Single-Walled Carbon Nanotube with Metal Complexes
Takumi Hasegawa 1 Kazuki Kuroiwa 1 Hiroaki Ozawa 1 Masa-aki Haga 1
1Chuo university Tokyo Japan
Show AbstractSingle-Walled Carbon Nanotubes (SWNTs) have received extensive attention of their excellent mechanical, thermal, and electrical properties. Moreover, functionalization of SWNTs has also been widely employed in order to modulate the properties of SWNTs materials and devices, and various chemical modification methods have been used to achieve the desired functions such as electronic or sensor devices. Metal complexes also can be used as a functional unit to mediate or amplify electrochemical and optical sensing properties. However, the studies on the preparation of metal complexes/SWNTs composites and their application are sparse. Our goal is to develop new sensor devices based on metal complexes/SWNTs composites.
Here, we report the syntheses of novel Ru and Ir complexes bearing pyrene anchor groups to adsorb the SWNTs. The composite formation between Ru/Ir complexes and SWNTs was confirmed by the optical, electrochemical, and XPS measurements. The pH responses of Ru(II/III) complex for proton-coupled electrochemical oxidation or luminescence properties in Ir complexes on SWNTs were investigated.
The pH dependence of cyclic voltammograms on Ru complex/SWNTs composites in Britton-Robinson buffer at different pH values were measured. The linear dependence of both anodic and cathodic peak currents on scan rate indicates that the Ru(II/III) complex was immobilized on the SWNTs surface. When the solution pH was changed from 1.82 to 8.11, the formal Ru redox potential of E1/2 = 0.709 V was shifted to 0.232 V vs. Fc+/Fc. These results indicated that the composite might be used for a pH sensor. The studies on Ir complex/SWNTs composites for photo-sensor will be also reported at the meeting.
9:00 AM - SS19.33
A Facile Route for 3D Aerogels from Nanostructured 1D and 2D Materials
Sung Mi Jung 1 Jing Kong 1
1MIT Cambridge USA
Show AbstractAerogels have numerous applications due to their high surface area and low densities. However, creating aerogels from a large variety of materials has remained an outstanding challenge. Here, we report a new methodology to enable aerogel production with a wide range of materials. The method is based on the assembly of anisotropic nano-objects (one-dimensional (1D) nanotubes, nanowires, or two-dimensional (2D) nanosheets) into a cross-linking network from their colloidal suspensions at the transition from the semi-dilute to the isotropic concentrated regime. The resultant aerogels have highly porous and ultrafine three-dimensional (3D) networks consisting of 1D (Ag, Si, MnO2, single-walled carbon nanotubes (SWNTs)) and 2D materials (MoS2, graphene, h-BN) with high surface areas, low densities, and high electrical conductivities. This method opens up a facile route for aerogel production with a wide variety of materials and tremendous opportunities for bio-scaffold, energy storage, thermoelectric, catalysis, and hydrogen storage applications.
9:00 AM - SS19.36
Carbon Nanostructures Growth on Stainless Steel by Chemical Vapor Deposition
Pablo Romero 1 Monica Campos 2 Jose Manuel Torralba 1 2 Roberto Guzman de Villoria 1
1IMDEA Materials Institute Getafe (Madrid) Spain2Carlos III of Madrid Leganamp;#233;s (Madrid) Spain
Show AbstractVertically Aligned Carbon Nanotubes (VACNTs) are potential candidates for being part of several devices in emerging engineering areas as energy storage, weight loss of transport systems or biomedical, among others. Most VACNT forests are grown on advanced substrates involving expensive micro-fabrication techniques. The manufacture of a continuous production system using a flexible substrate as stainless steel foil [1] would contribute to the development of a low cost and scalable production system. However, there are still some unsolved issues related to the growth mechanism in these heterogeneous substrates.
In this work we studied a simple VACNTs synthesis method consisting on chemical vapor deposition on a 25 µm thickness stainless steel 304 foil, acting both as catalyst support and the catalyst itself. The sample was pretreated by air-oxidation at 500 C during 30 minutes on a muffle furnace. The VACNTs synthesis consists on a reduction step (10-20 minutes with H2/Ar) followed by a growth step (10 minutes with C2H4/H2/Ar) on a tubular furnace through the “fast heat approach”, that enables fast ramp up and cool down. Both steps were carried out from 700 C to 830 C and lasted approximately 50 minutes.
Scanning Electron Microscopy was used to measure VACNT forest length and Raman Spectroscopy for CNT quality determination. Regarding CNT length and ID to IG ratio, an optimum temperature at about 750 C was found. Above this temperature a decrease in length and alignment was observed, leading to a graphitic deposit at about 800 C. Transmission Electron Microscopy was used to study potential correlations between the inner diameter size of the CNTs and synthesis temperature. Several nano-catalyst particles were observed in the same CNT at different positions that could be responsible of the bamboo-like morphology.
Regarding the influence of the treatment in the substrate, surface and bulk composition analysis were carried out through EDS and XRD, as well as micro-structural and oxidation studies. Due to the high content of carbonaceous species in the reactor during the growth step, carbon diffusion and carbides formation in the stainless steel foil are expected.
A simple and feasible method to grow VACNT forests on commercial stainless steel foil is demonstrated. A study of stainless steel evolution during the treatment is presented, focusing attention on carbon diffusion through the bulk. Further work needs to be done to understand the growth mechanisms involved in such a heterogeneous substrate so high quality and inexpensive VACNTs and their devices can reach the market.
[1] Roberto Guzman de Villoria et al, Continuous High-Yield Production of Vertically Aligned Carbon Nanotubes on 2D and 3D Substrates, ACS Nano, 2011, 5 (6), pp 4850-4857.
9:00 AM - SS19.37
Direct Integration of Metal Oxide Nanowires into Gas Sensor Devices
Thomas Fischer 1 Jennifer Leduc 1 Irina Giebelhaus 1 Raquel Fiz 1 Sanjay Mathur 1
1University of Cologne Cologne Germany
Show AbstractEnhancing gas sensor performance using nanostructured surfaces has been investigated extensively, and especially metal oxide nanowires show an outstanding performance with respect to gas selectivity, sensitivity, sensing speed and stability, due to their high aspect ratio structure and defined morphology and crystallinity. Moreover additional surface functionalizations resulting in decorated, core-shell, or branched heterostructures, lead to engineered surface properties, designed to match the requirement profile of individual target gases. The major drawback of a widespread application and commercialization of these nanowire based devices is the difficulty of integrating these nanostructure onto gas sensor platforms.
A novel CVD method is introduced, which allows the growth of nanowires directly on multifunctional gas sensor platforms, and enables a simultaneous readout of the electrical parameters of the growing film. This micro-CVD approach, combined with online mass spectrometrical analysis of the resulting gas phase decomposition products gives further insight in the CVD process and offers a high reproducibility in nanowire manufacturing. This contribution will focus on the synthesis and functional characterization of metal oxide nanowires grown from metal organic single-source precursors and the influence of gaseous by-products during the CVD process on the electrical properties of the resulting nanostructures.
9:00 AM - SS19.38
Synthesis and Characterization of Co-Doped Fe2P Nanowires as a Potential Non-Rare Earth Hard Magnetic Material
Adriana Mendoza-Garcia 1 Yongsheng Yu 1 Shouheng Sun 1
1Brown University Providence USA
Show AbstractHigh-performance hard magnetic materials are essential for the development of a vast number of technological applications, ranging from green electrical motors to ultra-high density information storage. The magnetic materials that meet these technical specifications are based on rare-earth elements, but the market factors of cost and availability dictate that, in the near future, alternatives must be developed. Iron phosphide (Fe2P) nanostructures have been shown to have high magnetic anisotropy, an essential prerequisite for hysteresis in ferromagnets. However, their Curie temperature does not meet the requirements for industrial use. Based on theoretical calculations, we propose introducing cobalt to the materials for performance enhancement. Here, we report our progress in the synthesis and characterization of nano-size cobalt-doped iron phosphide structures as a potential non rare-earth candidate. Using cobalt ferrite nanoparticles as metal precursors in presence of a phosphorus source, we are able to obtain metal-phosphide nanowires with a very good composition control. A detailed study of the structural and chemical conversion mechanism that these nanoparticles experience in the presence of the phosphorus source, as well as the corresponding magnetic and spectroscopic analyses for the resulting nanowires will be provided and discussed.
9:00 AM - SS19.39
Microwave-Induced Synthesis of Carbon Nanotubes and Nanoparticles
Almut Schwenke 1 Florian Kretschmer 1 Stephanie Hoeppener 1 2 Ulrich S. Schubert 1 2
1Friedrich Schiller University Jena Jena Germany2Jena Center of Soft Matter (JCSM) Jena Germany
Show AbstractThe synthesis of carbon nanofibers and nanotubes (CNT) commonly relies on CVD or other processes, which require rather harsh synthetic environments. We report on an alternative synthesis scheme which permits to synthesize CNTs in an ordinary synthesis microwave just in the presence of ethanol as a sufficient carbon source. This approach could be successfully implemented and permits the synthesis of CNTs in short times on less than two minutes and at overall temperatures which do not exceed 180 °C.[1] This process utilizes the selective heating effect of magnetic catalyst particles by microwave irradiation to create very high temperatures only in close vicinity of the catalyst particles while the substrate remains significantly cooler. This feature opens the possibility to facilitate also low melting substrates (i.e., many polymers) with carbon nanotubes. Different applications emerged from this approach, which include the functionalization of scanning force microscopy tips[2] with individual CNTs and the fabrication of rather stable carbon nanotube paper-like materials, which are currently tested for their utilization as alternative electrode materials providing excellent surface areas to volume ratios.
Additionally, block-copolymer guided synthesis of carbon nanotubes will be introduced, an approach which is hard to establish with conventional synthesis approaches due to the incompatibility of the CNT synthesis with the low melting block copolymer templates. Microwave-assisted synthesis can be used in this respect to first generate nanoparticles selectively in one of the block copolymer phases and subsequently also the growth of carbon nanotubes starting exclusively in this phase of the block copolymer becomes feasible. This example demonstrates the main advantages of using microwave-assisted synthesis on and into low melting materials.
[1] T.S. Druzhinina, W. Weltjens, S. Hoeppener, U.S. Schubert, Adv. Funct. Mater. 2009, 19, 1287-1292.
[2] T.S. Druzhinina, S. Hoeppener, U.S. Schubert, Nano Lett. 2010, 10, 4009-4012.
9:00 AM - SS19.40
Synthesis of Multifunctional Ultrathin Tungsten Oxide Nanowires Obtained via Solvothermal Methods
Olivier Margeat 1 Jincheng Liu 1 Joerg Ackermann 1
1CINAM - CNRS Marseille France
Show AbstractMetal oxide nanoparticles are an important class of materials for solar cells, gas sensors and as photocatalysts. Recently, ultrathin tungsten oxide nanowires have been reported that have outstanding properties for photochemical reduction of carbon dioxide indicating the high potential of such nanostructures of extremely high surface-to-volume ratios.[1] In this work, we report a synthesis through solvothermal method to produce ultrathin WOx nanowires with precise morphology control over the length and diameter, up to very high aspect ratio (1nm diameter with several hundreds nm length) allowing to fine tune their physical and chemical properties. Moreover our novel synthetic approach is based on a non-toxic tungsten precursor (unlike the toxic W(CO)6 commonly used[2]) and allows gram-scale production of these highly soluble nanowires.
Morphological control is obtained by modifying the precursor layer structure with different alcohols prior to the solvothermal step. The mechanism leading to such different structures will be proposed.
The ultrathin nanowires having 1nm diameter show the most important effects when used as photocatalysts, being considerably more efficient than other morphologies. Other applications such as solar cells (when WOx is used as buffer layer) or gas sensors will also be presented to prove the high activity of these wires.
[1] Guangcheng Xi, et al. Angew. Chem. Int. Ed. 2012, 51, 2395 -2399
[2] Moshofsky, B., & Mokari, T. Chemistry of Materials. 2013, 25, 1384.
9:00 AM - SS19.41
Engineering New Ordered Nanostructures by Binding Single Molecules to Single-Walled Carbon Nanotubes
Joseph Sharkey 1 Samuel D Stranks 1 Jian Huang 1 Jack Alexander Webber 1 Robin Nicholas 1
1University of Oxford Oxford United Kingdom
Show AbstractWe demonstrate the production of new type of Single molecule/Single-walled carbon nanotube nanostructures using a simple solution processing technique for effective and strong binding of small molecules (Spiro-O-MeTAD) to individual polymer (F8BT) wrapped single walled carbon nanotubes. These small molecules are very strongly bound which both causes large mechanical strain in the nanotubes and the single molecule coating helps in the separation of individual carbon nanotubes thus improving the photoluminescence efficiency by 1 order of magnitude compared to the polymer-NT nanohybrids. Using absorption, PLE, TCSPC and steady state PL measurements, we show that there is a dramatic variation in the electronic properties of the polymer-NT nanocomposites due to the new band alignment formed by the addition of Spiro-O-MeTAD. Our new generation self-assembled nanocomposites offer the potential for improvement in organic photovoltaic cells in near future.
9:00 AM - SS19.42
Fabrication of Crystalline Bulk Heterojunction by Gas Deposition Method for Organic Photovoltaics
Yosei Shibata 1 Noboru Ohashi 2 1 Zhiping Wang 1 Tetsuhiko Miyadera 1 3 Yuji Yoshida 1
1Advanced Industrial Science and Technology Tsukuba Japan2Tohoku University Sendai Japan3Japan Science and Technology Agency Kawaguchi Japan
Show AbstractRecently, structural control of bulk heterojunction (BHJ) is one of important challenges for high power conversion efficiency (PCE) of organic photovoltaics. For small molecules based BHJ structure, co-evaporation method has been conventionally used since 1990 [1]. Until now, the particle size of BHJ film has been controlled by substrate temperature [2] or molecular design [3]. As the ideal BHJ structure, formation of nanoparticles was required due to nano-scale exciton diffusion length. However, control of crystal size in co-evaporated film was very difficult because competitive growth between donor and acceptor molecules occurred. For example, it was reported that micro-sized aggregates of donor were grown [3, 4]. In these films, small amount of excitons in micro-sized crystals arrives at interface. Then, we suggest gas deposition (GD) method for a reason of ease of controllable particle size each of donor and acceptor. It was known that the film growth using GD method occurred as following steps [5]: (1) material was sublimed by source temperature (2) vapor-phase material aggregates with carrier gas immediately (3) aggregated material reaches substrate. Here, the most important point is that particle size was changed by adjusting source temperature before arriving. If two materials control with two chambers separately, GD method has excellent potential for controllable particles size in BHJ film. In this study, we focused on control of particle size based on ZnPc:C60 BHJ film by GD method.
In case of ZnPc film, when the source temperature is 480 #9675;C, the average diameter of ZnPc particles having β-phase was about 50 nm. In ZnPc:C60 BHJ film , particle size was approximately same as compared with ZnPc film. From these results, the growth of GD film is attributed to few competitive between donor and acceptor. Also, device performance of ZnPc:C60 BHJ by GD method will be reported.
[Reference] [1] M. Hiramoto et.al Appl. Phys. Lett. 58 (1991) 1062-1064 [2] S. Pfuetzner et.al Org.Electron. 12 (2011) 435-441 [3] Y. Shibata et.al Org. Electron. 93 (2009) 1149-1153 [4] A. Opitz et.al IEEE Journal 16 (2010) No.6 [5] S. Kashu et.al Jpn. J. Appl. Phys. 23 (1984) 910
[Acknowledgement] This work was supported in part by NEDO.
9:00 AM - SS19.43
Chemical Modification Method of the Carbon Nanotubes by the Solution Plasma Processing
Tomonaga Ueno 1 2 Hiroshi Harada 1 Nagahiro Saito 1 2
1Nagoya University Nagoya Japan2JST-CREST Tokyo Japan
Show AbstractCarbon nanotube (CNT) has been attractive for the excellent mechanical, electrical, and thermal properties. In particular, the applications to composite polymer or transparent conductive film have been expected. The solubility of CNT, however, is low in any solvents because these aggregate each other by Van der Waals force. The aggregation causes to degrade the properties of the CNT. To obtain high performance of CNT, we should improve the insolubility of the CNT. There are physical methods and chemical methods to enhance the dispersiblity of CNT. The physical methods do not improve enough the dispersion of the CNT and the chemical method requires high concentration acid to modify the surface. The simple and effective processing is required. In this study, we suggested the novel modification method by using plasma in liquid. The glow discharge in liquid, which is called solution plasma, has been achieved by using bipolar-pulsed power supply. Herein, we focused on the solution plasma processing for modification of the functional group onto the CNT. Furthermore, the modified CNT was mixed in polymer and evaluated the mechanical properties. For experiments, we used various reagents with/without amino functional groups in the terminal. The 0.05 M reagent was solved in water, then CNT was added to the solution at 0.5 wt%of concentration, and after that, solution plasma was generated between electrodes inserted in the solution. After the solution plasma processing for 30 minutes, the powdered CNT was obtained by volatilizing solvents at a furnace. These were distributed in pure water and their dispersibility were evaluated. From the results, ε-aminocapronic acid improved the solubility of the CNT. On the other hand, adipic acid did not improve the solubility. These results indicated that the amino functional group reacts with CNT, as a result, ε-aminocapronic acid enhance the solubility. By adding ε-aminocapronic acid, over 10wt% CNT dispersion liquid was obtained. The dispersion liquid was mixed in polymer and its mechanical properties were improved.
9:00 AM - SS19.44
CNT Modification by Spark Plasma Sintering for Production of Cu-MWCNT Nanocomposites
Martin Emilio Mendoza 1 Braulio Archanjo 1 Carlos Alberto Senna 1 Izabel Fernanda Machado 2 Guillermo Solorzano 3 Andrea Porto Carreiro Campos 1
1National Institute of Metrology, Quality and Technology Xeramp;#233;m - Duque de Caxias Brazil2University of Samp;#227;o Paulo Samp;#227;o Paulo Brazil3Pontifical Catholic University of Rio de Janeiro Rio de Janeiro Brazil
Show AbstractMetal matrixes reinforced by carbon nanotubes (CNTs) are considered as a promising material due to the wide application of metals in the industry and to the superior properties of CNTs. In particular, copper-multiwall carbon nanotube nanocomposites (Cu-MWCNT) would offer potential applications in electronic materials and structural elements.
However, the success of this kind of material depends on the metal-MWCNT interface as well as the MWCNT uniform distribution and CNT structural integrity, which are directly correlated with the nanocomposite synthesis and processing (sintering) methods.
Spark plasma sintering (SPS) has become the main sintering method for production of metal matrix nanocomposites from powder material, due to its advantages such as lower time and sintering temperature, high heating and cooling rates, among others. On the other hand, the high electrical current density applied in this process can modify the CNT structure.
This work reports some structural characteristics of a nanocomposite constituted of Copper reinforced with 0,5 wt% and 5,0 wt% MWCNTs synthesized by chemical method and further processed by SPS in two distinctive conditions.
Purified MWCNTs in the 10-100 nm diameter range were provided by UFMG Brazilian University. They were functionalized with a mixture of H2SO4: HNO3 (3:1). Nanocomposite powders were produced by dissociation of a homogeneous suspension containing Cu(NO3)2.3H2O - MWCNTs, previously functionalized in tetrahydrofuran solution, followed by H2 reduction of the obtained CuO-MWCNT precursor. Bulk nanocomposite pellets were obtained in two ways: (P1) Using a Doctor Sinter Lab Machine (SPS 1050) applying 70 MPa pressure, 100 oC/min heating rate and sintering at 600 °C for 5 minutes under 1x10-3 Torr. (P2) using the preview conditions at 400 °C for 15 minutes followed by cold deformation at 50% and annealing at 600°C by 30 min in vacuum 1x10-5 Torr.
Focused ion beam and transmission electron microscopy were employed as main characterization tools. TEM images unveil good bonding between Cu grains in both methods with typical Cu grain size in the 50nm-2mu;m range for (P1) samples and 1mu;m - 5mu;m range for (P2). High segregation of carbon at grain boundaries of the cooper matrix was observed in the two methods. High resolution images showed shortening, graphitization, amorphization and transformation of MWCNTs in other class of structures like nanoribbons. Hardness and young modulus were measured by nanoindentation technique. Samples containing 0,5 wt% CNT presented better results as compared with pure copper and the others CNT weight fraction samples.
9:00 AM - SS19.45
In-situ Catalyst Patterning and Organized Carbon Nanotube Growth over Ceramic Substrates
Yasemin Celik 1 Ender Suvaci 1 Alicia Weibel 2 Alain Peigney 2 Emmanuel Flahaut 2 3 Umut Savaci 1
1Anadolu University Eskisehir Turkey2Universite de Toulouse, UPS, INP, Institut Carnot Cirimat, 118, route de Narbonne, F-31062 cedex 9 Toulouse France3CNRS, Institut Carnot Cirimat, F-31062 Toulouse France
Show AbstractCarbon nanotubes (CNTs) are good candidates for future nanoelectronic devices owing to their unique properties. However, the technological obstacle to expand their utilization in electronics is the difficulty in growing CNTs directly on a substrate by controlling their location and orientation. One of the key issues to overcome this obstacle is precise placement and size control of metal nanoparticles, which act as catalysts for CNTs growth by catalytic chemical vapor deposition (CCVD), over the substrate. In this study, polycrystalline Fe-doped alumina (Al2O3) ceramics have been produced and used as a substrate for carbon nanotube (CNT) growth by CCVD. In these substrates, Fe3+ cations are the catalyst source, initially located as substitutions to Al3+ in α-Al2O3, instead of a continuous thin Fe film on the surface of the substrate. The selective reduction of these substrates resulted in in-situ formation of homogeneously distributed Fe nanoparticles forming patterns at nanometer-scale steps and kinks of the ceramic surface. These nanoparticles then catalyzed the growth of high quality CNTs, with some degree of organization thanks to their interaction with the topography of the substrate.
9:00 AM - SS19.46
Control of Surface Morphology of Soft Substrate by One-Dimensional Nanostructured Electrodes
Samuel Shian 1 David Clarke 1
1Harvard University Cambridge USA
Show AbstractDue to their attractive properties and potentially wide applications, smart materials with tunable properties have received significant attention in multiple research areas. Here we present a method to tune the surface morphology or roughness of soft dielectric substrates by controlling the density of one-dimensional nanostructures and by the amount of electrical charges. Under electrical field, the nanowires or nanotubes network deposited at above its electrical percolation threshold generates Maxwell&’s stress that compresses the network onto underlying soft substrate and induces mechanical actuation, up to 190% lateral strain. During this process, the surface morphology or roughness of the substrate is also altered, which depends on the spacing between the nanowires. Such tunable surface roughness affects the optical properties of the substrate, such as diffusivity, specular reflectivity and transparency. We will present structural, electrical, and optical characterization of the electrode and substrate. Furthermore we will show devices built around this concept for optical applications, such as light switches and tunable light diffuser.
9:00 AM - SS19.48
Study of Light-Emission Properties of Monocrystalline Porous Zno Nanobelts Doped with Sulfur
Giancarlo Salviati 1 Filippo Fabbri 1 2 Lucia Nasi 1 Alessandra Catellani 1 3 Arrigo Calzolari 3 Davide Calestani 1 Tullo Besagni 1 Patrizia Ferro 1 Paolo Fedeli 1 Francesca Licci 1 Roberto Mosca 1 Silvija Gradecak 2
1IMEM-CNR Parma Italy2Massachusetts Institute of Technology Bostton USA3NANO-CNR Parma Italy
Show AbstractZinc oxide (ZnO) is considered a very interesting material owing to its direct wide band gap and high exciton binding energy. Its use in several applications, such as electronic, optoelectronic, electrochemical and electromechanical devices, has been already demonstrated, and interest is increasing due to the possibility to synthesize ZnO in a large number of different nanostructures that allow novel devices to be achieved.
In this communication we report the processes that allow monocrystalline porous ZnO nanobelts (NBs) doped with sulfur to be obtained from the ZnS(en)0.5 (en=ethylenediamine) hybrid organic-inorganic precursor synthesized by a solvothermal route. In particular it is shown that porous ZnO nanostructures are obtained through the topotactic transformation ZnS(en)0.5 to ZnS to ZnO induced by proper thermal treatments (1). The light emission properties of sulfur-doped ZnO porous nanostructures are thoroughly investigated by cathodoluminescence (CL) spectroscopy performed in both scanning and transmission electron microscopy (SEM and TEM). CL spectroscopy of porous ZnO NBs reveal an intense emission in the visible range. This emission peaked at 530 nm (2.34 eV) is related to typical green emission in ZnO nanostructures. Meanwhile the porous ZnS NBs have an emission peaked at 590 nm (2.10 eV), likely related to ZnS point defects. The single nanobelt light emission properties are analyzed by TEM-CL. The nanobelts show a single peak at 2.5 eV. In order to interpret the data, we perform Density Functional Theory calculations: the results reveal the presence of an intra-gap state induced by sulfur doping at an energy position in the ZnO gap in good agreement with CL analysis. By comparing the experimental results with DFT calculations, we can thus definitely assign this feature to residual sulfur in the ZnO host matrix. In addition, the DFT simulations reveal that sulfur induces a stress in the ZnO lattice that could be related to the low intensity of the ZnO band-to-band emission.
(1) L. Nasi et al, Nanoscale, 2013, 5 , 1060 - 1066
9:00 AM - SS19.49
High Performance Semiconducting Enriched Carbon Nanotube Thin Film Transistors Using Metallic Carbon Nanotube Electrode
Narae Kang 1 2 Biddut K. Sarker 1 2 Saiful I. Khondaker 1 2 3
1University of Central Florida Orlando USA2University of Central Florida Orlando USA3University of Central Florida Orlando USA
Show AbstractWe demonstrate high-performance solution processed carbon nanotube (CNT) thin film transistors (TFTs) where densely aligned array of metallic CNTs (m-CNTs) were used as source and drain electrodes while aligned array of semiconducting enriched CNTs (s-CNTs) with tunable densities were used as channel material. The room temperature electrical transport measurement shows that the m-CNT contacted s-CNT array devices have superior performance compared to control Pd contacted s-CNT array devices. For example, a m-CNT contacted device with a linear density of 1 s-CNT/µm exhibit a maximum (average) on-conductance of 36.5 µS (19.2 µS), transconductance of 2.6 µS (1.2 µS), mobility of 51 cm^2/Vs (25 cm^2/Vs), and current on-off ratio of 1.1×10^6 (2.5×10^5). These values are almost an order of magnitude higher than that of the control Pd contacted s-CNT array devices with the same linear density. Similar improved performance were found for the devices with higher linear densities of the s-CNT in the array (up to 25/µm), however, the values of Pd/s-CNT devices gets closer to m-CNT/s-CNT devices with increasing array densities. From the low temperature charge transport measurements, we show that the improved performances are due to a lower charge injection barrier of our m-CNT/s-CNT array devices compared to that of Pd/s-CNT array devices. Our results suggest that, in addition to using semiconducting enriched CNT, using metallic CNT as an electrode can significantly enhance the performance of CNT TFTs.
9:00 AM - SS19.50
Benchtop Platform for Dielectrophoretic Assembly of Nanomaterials with Feedback Control System
Andrew Schweig 1 Sam MacNaughton 1 Sameer Sonkusale 1
1Tufts University Medford USA
Show AbstractIn bottom-up and directed assembly approaches, there is a need for tight control over the placement and geometry of the nanomaterial. We have created a cheap, portable, computer-controlled benchtop platform for deposition and alignment of a diverse range of nanomaterials between electrodes using dielectrophoresis (DEP). Dielectrophoresis allows for precise control over spatial location, orientation, numerical control and morphology through electrical parameters of frequency and voltage applied. The developed benchtop system uses custom electronics which consists of a signal waveform generator, and impedance monitoring circuitry for assembly and monitoring in situ. The electronics are capable of generating waveforms for DEP (from frequency of 1-20 MHz and voltage amplitude of 5-30 V peak-to-peak sine wave). This allows for assembly of common nanomaterials like single walled carbon nanotubes (SWCNTs), graphene flakes, and silver nanowires. Using feedback control, the impedance between any given pair of electrodes on a substrate was measured to determine the moment that a single wire or flake made contact with both electrodes. The electronics and a standard USB data acquisition card connected to a computer stopped the DEP process once a single particle was assembled to ensure a clean substrate with deposition only occurring at the desired sites. The approach can be scaled to assembly of more than one nanoelement assembled by monitoring discrete values of impedances. The system allows for easy control and automation of the DEP process for a wide range of nanomaterials on any substrate, leading to a simplified process for very precise printing of nanomaterials and tight control over the entire process.
9:00 AM - SS19.51
1D Embedded Cluster Approach to Modeling Cds Nanowires
John Buckeridge 1 Stefan T. Bromley 3 Aron Walsh 2 Scott M. Woodley 1 Richard Catlow 1 Alexey A. Sokol 1
1University College London London United Kingdom2Bath University Bath United Kingdom3Universitat de Barcelona Barcelona Spain
Show AbstractCdS quantum dots and wires supported on wide band gap semiconducting
oxide substrates have recently emerged as promising materials for
"third generation" solar cells. Photoexcitation of charge carriers
occurs when photons are incident on the CdS nanostructures. The
induced current in the solar cell is driven by the injection of
negative charge carriers into the conduction band of the oxide
substrate (where they diffuse to the front electrode) and the
recharging of the CdS nanostructures via a redox electrolyte connected
to a counter electrode. Understanding this process in detail requires
accurate predictions of the structures and optical properties of such
CdS nanostructures and the nanostructure/substrate interface, and an
analysis of the role of defects in the material.
We present a hybrid quantum mechanical/molecular mechanical (QM/MM)
embedded cluster approach to model nanowires. We use as our test case
a 1D CdS linear chain, treating the pure CdS system, and the system
containing an In and Cu impurity (in the appropriate charge states)
substituting on a Cd site. We benchmark our model using plane-wave DFT
calculations, finding excellent agreement between the calculated
density of states (DOS) using both approaches (as the model system is
simple, the plane wave DFT calculations are not excessively
computationally expensive). We find good agreement in the description
of the defect states (where applicable), and demonstrate the ability
of our embedded cluster approach to determine ionization energies with
an absolute energy reference.
9:00 AM - SS19.52
Comparative Study of Cr Doping in Rutile-Type SnO2 and TiO2 Nanowires and Microtubes Grown by a Vapor-Solid Process
G. Cristian Vasquez 1 Miguel Carcia-Tecedor 1 David Maestre 1 Ana Cremades 1 Javier Piqueras 1
1Facultad de Ciencias Famp;#237;sicas, Universidad Complutense de Madrid Madrid Spain
Show AbstractSemiconducting oxides low dimensional structures with variable morphology and tailored composition are attracting increasing attention. Among them, SnO2 and TiO2 have demonstrated important applications as gas sensors, photocatalyst, and optoelectronic devices which can be improved and modulated by doping.
In this work the effect of chromium on the structural and luminescence properties of rutile-type SnO2 and TiO2 low dimensional structures (nanowires and microtubes) is studied by means of cathodoluminescence (CL), energy dispersive x-ray spectroscopy (EDS), Raman spectroscopy and x-ray absorption spectroscopy (XAS). Although both SnO2 and TiO2 present similar rutile crystalline structure (space group P42/mnm), comparable bond lengths, and similar wide band gaps (3.6 eV and 3.06 eV at 300 K respectively), the Cr-induced luminescence properties however differ. Low dimensional SnO2 and TiO2 doped structures in forms of nanowires and microtubes have been grown by a catalyst free evaporation-deposition method [1] using either metallic Sn, SnO2 or TiN mixed with Cr2O3 (5 % wt.) as precursors. Temperatures of 800-1400 °C or 900 - 1250 °C are needed for the growth of the Cr:SnO2 and Cr:TiO2 elongated nano- and microstructures respectively. The thermal parameters and the corresponding precursor determine the morphology of the as grown structures which dimensions vary from 50nm to tens of microns width and up to hundred of microns length. Incorporation of dopants in rutile type structures by thermal treatments is reduced. In this case low concentration of Cr (0.6 - 3 at. %) has been measured by EDS. In the case of rutile-type SnO2 and TiO2, chromium is usually incorporated as substitutional Cr3+ in octahedral coordination, therefore anionic vacancies and/or cationic interstitials are generated during doping. However cathodoluminescence measurements reveal major differences in the Cr induced luminescence of Cr doped SnO2 and TiO2. Cathodoluminescence from Cr:TiO2 is similar to that characteristic from undoped TiO2 (dominated by an intense emission at 1.5 eV related to Ti3+ interstitials), but with the additional presence of a narrow emission at 1.79 eV which corresponds to the intraionic transitions (2E-4A2) from Cr3+ in octahedral coordination symmetry. However, this Cr characteristic emission at 1.79 eV has been not observed in Cr:SnO2 nanowires and microtubes. The luminescence of Cr doped SnO2 highly differs from that characteristic from undoped SnO2 (dominated by the emission at 1.94 eV related to oxygen vacancies). The mechanisms involved in the dopant incorporation in TiO2 and SnO2 are further discussed by analyzing the chromium coordination environment in both rutile lattices, and the doping induced structure of defects by means of Raman spectroscopy and x-ray absorption spectroscopy.
[1] D. Maestre, A. Cremades and J. Piqueras, J. Appl. Phys. 97, 044316 (2005)
9:00 AM - SS19.53
Fabrication of Carbon Nanotube Thin-Film Transistors Utilizing Material Recognition Property of Peptide Aptamer
Yuichiro Shimada 1 2 5 Mitsuo Umetsu 3 5 Akane Hosokawa 1 Katsuto Otake 1 Masakazu Sugiyama 4 5 Hiroyuki Fujita 2 5
1Tokyo University of Science Tokyo Japan2The University of Tokyo Tokyo Japan3Tohoku University Sendai Japan4The University of Tokyo Tokyo Japan5BEANS Project Tokyo Japan
Show AbstractCarbon nanotubes (CNTs) are an attractive material possessing unique mechanical and electrical properties. Their properties hold great promise for development of micro/nano-electronic components, such as transparent and flexible electrodes, wirings, field-effect transistors, sensors, and so on. Therefore, handling techniques of the CNTs have been becoming important. Especially, isolation of conducting or semiconducting CNTs, site-selective alignment of the each CNT, and surface modification of the CNTs are key techniques for creating devices with high energy efficiency or sensors with high-sensitivity and selectivity. Actually, the electron field-effect mobility in excess of 100000 cm2 /V s was achieved on the transistor with applying an individual semiconducting CNT, and various sensors complexed with nanoparticles or biomolecules were reported as the applications. However, many complicated processes were required for constructing those device structures. Biomolecules with recognition against specific material surfaces, known as aptamer, have a potential to achieve them by simple process. In this study, we demonstrated autonomous immobilization of CNTs on a solid surface by utilizing the property of the peptide aptamer, and fabricated the CNT thin-film transistors (CNT-TFTs) with high field-effect mobility.
The CNT-TFT was fabricated by following procedure. Au as source and drain electrodes were patterned on the silicon substrate as a back gate (phosphorus-doped, 0.001 Omega; cm resistivity) with thermal oxide film with a thickness of 100 nm. For immobilizing the CNTs as a channel, the peptide aptamer (CNTBP) of amino-acid sequence with [HMGLTKIHYSAL] which was previously identified for single-walled CNTs (SWCNTs) were introduced via silane coupling reagent (3-Aminopropyl triethoxysilane). Subsequently, the droplet of the SWCNT distributed with the single-stranded DNA was applied between the electrodes, and the substrate was washed with enough deionized water.
The SWCNTs immobilized surface was observed through optical and scanning electron microscopy (SEM). Although the deposited CNTs were invisible through optical microscopy, high-density and thin layered CNTs network was found only in the case with the CNTBP through SEM observation. Furthermore, the drain current-voltage characteristics of the CNT-TFT were investigated. In the case of using semiconducting CNTs, the drain current was increased in response to the gate voltage and the field-effect mobility reached 103 ~ 104 cm2 /V s; in contrast, ohmic properties were observed in the case with conducting CNTs. These results showed transparent CNTs electronic components could be formed by utilizing the peptide aptamer. As evidenced here, this simple process has a widespread potential for the fabrication of high-efficient nano-electronic elements using CNT-based nanostructures.
9:00 AM - SS19.54
Effects of Coulomb Impurity in Semiconductor Nanowire
Tamaz Kereselidze 1 Tamar Tchelidze 1 Teimuraz Nadareishvili 1
1Iv. Javakhishvili Tbilisi State University Tbilisi Georgia
Show AbstractSemiconductor nanowires, are believed to act as key elements in future nanoscaled optoelectronic devices, as they offer intriguing electrical and optoelectronic properties. However, the future of any semiconductor nanowire technology will essentially rely on their doping capability. The availability of both n- and p-type semiconductors is important for the realization of nanowire-based electronics. Wide band gap semiconductors, such as ZnO, suffer from doping polarity. They can be easily doped n- (or p-type) to the expense of difficulties for doping of opposite type. The main factor that makes difficult to obtain n- or p-conductivity is formation of compensating defects. Compensating processes is strongly affected by electronic structure of system: band gap, ionization energies of donors, acceptors and their compensation centers. Ionization energy of donors and acceptors is also important from the point of view doping efficiency. Space confinement changes donor and acceptor ionization energies. In the presented work we have calculated the energy levels of electron bound to Coulomb impurity that is incorporated in semiconductor nanowire. Namely, we consider an electron confined in a cylinder with small radius a and large but finite length L. The potential outside the cylinder is taken to be infinity and it is -qe2/εr, inside, were q is the charge of the Coulomb center and ε is the static dielectric constant of the material inside the nanowire. We solved the effective-mass Schrödinger equation for potential V(0)=-qe2/ε(z2+ρ02)1/2, where 00 is a constant value. V-V(0) is taken into account by direct matrix diagonalization. The basic wavefunctions are product of two wavefunctions where one is Bessel function of the first kind Jm(kρρ), and another chi;(kz)z is the solution of a non-homogeneous equation. We find the solution of non-homogeneous equation by using the one-dimensional Coulomb Green&’s function. The separation constants kρ and kz in the one-dimensional equations are determined by employing the boundary conditions Jm(kρa)=0 and chi;(kzL1)=chi;(kzL2)=0 (L1 and L2 are the distances from the Coulomb center to the right-hand end and the left-hand end of the cylinder, respectively).
9:00 AM - SS19.55
Metal-Lined Semiconductor Nanotubes to Exploit Surface Plasmon Resonance
Jinkyoung Yoo 1 Xuedan Ma 1 Wei Tang 2 Gyu-Chul Yi 3
1Los Alamos National Laboratory Los Alamos USA2University of California Los Angeles Los Angeles USA3Seoul National University Los Angeles Republic of Korea
Show AbstractSemiconductor nanostructures, such as nanowires (NWs) and nanotubes (NTs) have attracted great interests due to their possibility of ideal building blocks of highly efficient light-emitting devices (LEDs) based on high luminescence efficiency and integratatbility with electrically conductive substrates. Additionally, double heterostructure along radial direction, formed by deposition of wider band gap semiconductors compared to the core NW or NT and dielectrics on the outermost surfaces of the core NWs and NTs have shown to have significantly enhanced luminescent quantum efficiency. However, applicable LEDs using semiconductor nanostructures have not been achieved yet because Ohmic contact formation between the metal electrode and the active region has been hindered by dielectric and wider band gap layer passivating surfaces and to confine carriers and photons. Thus, direct utilization of metal to enhance the quantum efficiency of semiconductor NWs and NTs has been pursued. Surface plasmon (SP)-mediated luminescence enhancement, one of the most promising solutions for LEDs using metal entities, has been achieved by incorporating metal nanocrystals (NCs) into the vicinity of the light-emitting region during the growth process or decorating the surfaces of NWs and NTs with NCs. However, the methods require a compromise between the integrity of the fabricated device and high performance of LEDs. Here we present a material system consisting of selective lining the inner surfaces of semiconductor NT with metal for significant SP-mediated luminescence enhancement. Position-controlled semiconductor NT arrays were prepared by a combination of lithographic technique and chemical vapor deposition. After preparation of NT arrays, simple polymer spin-coating process was conducted on the arrays to cover only the outer surfaces of NTs. Because the inner surfaces of NTs were still exposed, consequent metal deposition was selectively done on the inner surfaces. The optical properties of metal-lined semiconductor NTs were investigated by time-integrated photoluminescence spectroscopy and finite difference time domain simulation. The metal-lining process can be applicable to various semiconductor materials because the post-end process is based on rheological phenomena not materials compatibility. In the case of Ag-lined ZnO NTs, metal lining process induces remarkable enhancement of the PL intensity up to 8 times at 11 K and room temperatures. Furthermore, the screening SP-exciton coupling by inserting MgZnO layer with larger band gap than light-emitting region between the Ag and CdZnO layers was observed.
9:00 AM - SS19.57
Relationship between Kind of Carbon Nanotubes and the Width of Zigzag Nanoribbons that Produce Them
Ahmad Yazdani 1 Behrad Barakati 2
1Tarbiat Modares University Tehran Islamic Republic of Iran2Islamic Azad University Tehran North Branch Tehran Islamic Republic of Iran
Show AbstractThe electronic properties of carbon nanotubes are related to its chirality, so it is important to produce the carbon nanotube with specific kind. In this article we describe how different kinds of nanotubes can be produced with just one kind of nanoribbons. Here, we find the relationship between chirality of produce carbon nanotubes and width of zigzag nanoribbons. For achieving to this result, molecular dynamic simulation was used with LAMMPS package.
Carbon nanotubes (CNTs) with different chirality have various electronic properties. This material can be metal, tiny band gap or large band gap semiconductor and it is related to chirality of nanotube.
CNTs can be produced by different methods. New method for producing carbon nanotubes by exact chirality from graphene nanoribbons is suggested here.
For achieving to this molecular dynamic simulation with LAMMPS package was used, and the potential that applied to the system was rebo semiclassical potential that brenner suggested for hydrocarbons .
At first we attached the H atoms to one of the sublatices of graphene in one side because the H atoms can not absorb to both sublattices in one side .This absorption cause of applied the force, that rolling-up graphenes to CNTs by changing hybridization of C atoms in one sablattices (that absorption H atom) from SP2 to SP3.
We defined the size of graphene naoribbons with the number of zigzag chain along the width. This simulation was done for size 4 to 16. During this experiment two kind of mechanism was identified that change in a critical width.
The first mechanism of rolling was happened by side by side attachment. For this mechanism the size of nanoribbons must be at least size 10. For even size the chirality of produce nanotube is (size/2, size/2) and for odd size is ((size+1)/2,(size-1)/2).
Other mechanism was happend for sizes less than 9 and rolling was happened with spiral motion here . Nanotubes with different chirality were produced in this mechanism and the radius of nanotubes is increased where the size of nanoribbons is decreased. The chirality of CNTs has relationship with size of graphene nanoribbons that go in a direction in select chirality chart.
9:00 AM - SS19.58
Fast and Efficient Spectrofluorimetric Analysis of High Purity SWCNT Thin Films Separated by Centrifugation and Gel Chromatography
Rakan F. Ashour 1 Leonard M. Breindel 1 Ryan C. Capasse 1 Mikhail Solomonik 1 John-David Ray Rocha 1
1Rochester Institute of Technology Rochester USA
Show AbstractThe application of single-walled carbon nanotubes in advanced optoelectronics, such as thin film conductors or transistors, requires the separation and characterization of metallic and semiconducting (n,m) species. Conventional techniques to characterize the separated single-walled carbon nanotubes (SWCNTs) such as absorption and fluorescence spectroscopy are considered time consuming and not compatible with large industrial scale quality control. Instrumentation for fast and efficient spectrofluorimetric analysis of samples containing high purity sc-SWCNTs separated by centrifugation and gel chromatography is presented. Using multiple fixed wavelength lasers for excitation and a high-speed InGaAs photodetection system, SWCNT thin films on glass and/or polymer substrates (e.g. PET, PMMA) are characterized. Additionally, early work towards the creation of a SWCNT-based photovoltaic system will be discussed with consideration of SWCNT purity on performance.
9:00 AM - SS19.59
The Efficiency Improvement in DSSCs by the Utilization of Indium Tin Oxide Nanowire Transparent Film Electrode Produced by Sol-Gel Method
Halil Ibrahim Yavuz 1 Ahmet Macit Ozenbas 1
1Middle East Technical University Ankara Turkey
Show AbstractAlthough FTO (fluorine doped tin oxide) used in dye-sensitized solar cells (DSSC) is functioning as a transparent conductive layer (TCO) on both anode and cathode, the idea of replacing these layer by others is a new study. Due to the limited electrical conductivity of FTO, electrons cannot easily reach the electrode surface; instead they accumulate on TiO2 anode layer which causes the recombination of photo-generated electrons. This is the reason of limited efficiency obtained for FTO-TiO2 structures. In this study, FTO layer was replaced by indium doped SnO2 (ITO) bunch of flower like nanowires grown on ITO coated glass substrates by sol-gel technique in order to increase the electrical interaction between TCO and TiO2 layer. Several characterization techniques were used to investigate the effects of nanowire modification between the anode layer and transparent conductive layer (TCO). According to UV-Vis spectra of ITO nanowire modified glass, it can absorb the region below 380 nm under UV light which corresponds to band gap (Eg) energies around 3.93 eV, which is the same as commercial ITO obtained by sputtering. The average transmittance of ITO nanowire modified film in this region is above 85% which is higher than commercial FTO coated glasses. These values contributed to an improvement on short-circuit photocurrent up to 21%, open-circuit voltage up to 7.57%, and solar energy conversion efficiency up to 2 times than that of DSSCs obtained using bare ITO-titania. The cell prepared by ITO nanowire modified electrode yielded short-circuit photocurrent about 17.50 mA/cm2, open-circuit voltage about 710 mV and the conversion efficiency of 3.6%. 30% improvement has been observed on total IPCE in the case of ITO nanowire modified DSSC. The cell prepared by ITO nanowire modified TiO2 anode yielded recombination time about 1.51 ms, electron diffusion coefficient about 3.31 m 2 /s which is 3 times higher than bare ITO-titania cell obtained by sol-gel technique and the diffusion length of 25.71 µm. On the light of these studies, nanowire modified ITO by sol-gel method is comparable to the TCOs obtained by other high vacuum processes and can be a candidate to solve the limited efficiency observed in DSSCs.
9:00 AM - SS19.60
Advanced Multifunctional Nanowires for Nanoelectronics Assembly and Nano-Soldering
Fan Gao 1 Qiyue Yin 2 Guangwen Zhou 2 Zhiyong Gu 1
1University of Massachusetts Lowell Lowell USA2State University of New York at Binghamton Binghamton USA
Show AbstractDue to the increasing requirements for high-performance interconnects in advanced packaging and nanoelectronics manufacturing, new or improved soldering techniques are necessary. Nano-soldering is a potentially enabling technique in the assembly and integration of nanoelectronics and devices. In this study, lead-free solder based multifunctional nanowires are synthesized and developed for nanoelectronics assembly and packaging applications. The nano-joining technique is approached by utilizing nanosolders on multi-segment nanowires, which are then self-assembled or directed assembled into 2D or 3D nanostructures with functional nanosolder joints. This approach is useful for the construction of different functional assemblies and nanodevices. The interactions between different nanosolders and substrates, including atomic diffusion, melting and intermetallic formation and growth, are studied from both the one-dimensional and two-dimensional perspectives. In situ transmission electron microscope (TEM) and focused ion beam (FIB) based techniques are utilized to image and characterize the solder joints and multi-segment nanowire interfaces at the nanoscale.
9:00 AM - SS19.61
Scalable Fabrication of Aligned Single-Walled Carbon Nanotube Composites by Magnetic Alignment of Polymerizable Liquid Crystalline Templates
Marissa Tousley 1 Xunda Feng 1 Menachem Elimelech 1 Chinedum Osuji 1
1Yale University New Haven USA
Show AbstractFormation of monodisperse, nanoporous materials for size-based separations using scalable methods remains a challenging problem. In particular, aligned carbon nanotube membranes provide compelling prospects for high selectivity and high permeability separations but scalability and robust control of nanotube size stand as hurdles to be overcome. Here we extend the concept of templated assembly of single-walled carbon nanotubes (SWNTs) to non-aqueous systems, which afford the creation of nanoporous selective layers for use in aqueous separations. Sequestration of SWNTs in the aqueous channels of a lyotropic template, and subsequent magnetic alignment using a superconducting magnet has been achieved. Characterization using a combination of near-infrared fluorescence and ultraviolet-visible spectroscopies demonstrates the stability of well dispersed SWNTs within the LC template. Moreover, small angle x-ray scattering results demonstrate that structure retention of the LC-SWNT composite is possible in non-aqueous mesophases after subsequent magnetic alignment Optimization of the system by controlling polymerization kinetics is required to yield a robust film with strongly incorporated nanotubes. Concurrently, screening of additional polymerizable templates, including block copolymers and other lyotropic surfactants, is being carried out to fully realize the flexibility and diverse range of chemistries applicable to this approach. Our work provides a platform for the ultimate fabrication of aligned nanotube membranes using space pervasive magnetic fields and tailored SWNTs of any diameter or purity.
9:00 AM - SS19.62
Zno Nanostructure Synthesis on Conductive Substrates and Their Applications
Yang Liu 1 Jason Komadina 1 Jose Flores 1 Jennifer Lu 1
1UC Merced Merced USA
Show AbstractDirect synthesis of 3D metal oxide nanostructures such as ZnO nanostructures on conductive substrates can significantly improve the contact between the nanostructures and substrate and simultaneously offer large functional surface area. This 3D architecture is expected to used for energy storage and conversion, photocatalysis and low-voltage ionization.
We report controllable synthesis of ZnO nanostructures on Al foils and Au coated substrates via hydrothermal methods. The controllable synthesis is achieved by using engineered catalyst layer. By using the polymer template approach to graft catalysts on surfaces of sidewall, 3D structure have been created. We have studied field emission as well as photocatalytic degradation properties of this types of 3D nanostructures.
A systematic study has been conducted to investigate the feasibility of using these 3D ZnO nanostructures as electrodes for energy storage and conversion. In particularly, we have developed a method of in-situ polymerization of monomers directly on ZnO nanostructures followed by carbonization in Ar to form a carbon-like protection layer. The cyclic performance before and after the carbon coating showed that the carbon coating can greatly improve the durability of the ZnO electrode.
In conclusion, ZnO nanostructures directly grown on conductive substrates are good platform for various applications.
9:00 AM - SS19.63
Radial Growth of High Quality Carbon Nanotubes
Shaghayegh Faraji 1 Kelly Stano 1 Philip D. Bradford 1
1North Carolina State University Raleigh USA
Show AbstractCarbon/carbon (C/C) composites are advanced structural materials with the desirable properties of low density, high temperature performance, high electrical and thermal conductivity and excellent dimensional stability. Carbon nanotubes (CNTs), possessing outstanding mechanical, thermal and electrical properties may be the perfect reinforcement for C/C composites, but have yet to be studied extensively in this role. Preparation of CNT C/C composites via chemical vapor infiltration (CVI) involves depositing carbon on the CNTs using a carbon source gas, slowly filling the voids in the composites. This work details interesting findings uncovered when the initial stages of carbon deposition and heat treatment were studied. Specifically we found that carbon deposited from acetylene would form laminar pyrolitic carbon coatings, resembling tube walls, on the CNTs and that after high temperature heat treatment the coatings crystallized on the CNTs to radially grow them.
Aligned MWCNT sheets, drawn from MWCNT arrays, were used to study the deposition of the carbon coating. The single sheets of aligned MWCNTs were wrapped around graphitic frames for further processing. Single layer CNT sheets were used to reduce coating variation due to diffusion length. Carbon deposition was carried out on the MWCNT sheets at 800 C, and 30 Torr, for five different time periods of 10, 20, 30, 40 and 80 minutes. Results showed that increasing the CVI time increased the CNT diameter. Also, by increasing deposition time, the morphology of the deposited carbon layer transitioned from laminar pyrolitic carbon (deposited on the surface of CNTs) toward disordered carbon (at the outermost surface of the coating).
In the next step, all samples, including single sheets of pristine CNTs (as control sample) were heat treated at 2150°C for 5 hours in argon. The heat treatment improved the graphitic nature of both the CNT structure and carbon coating layer. For samples with shorter carbon deposition times (10, 20 and 30 minutes), the pyrolitic carbon on the surface of CNTs graphitized, resulting in a crystalline structure where the coating and original tube walls could not be differentiated in transmission electron microscopy (TEM) images. Results from Raman measurements showed that after heat treatment, the graphitic to disorder carbon (G/D) ratios of CNT sheets with 10, 20 and 30 minutes carbon deposition (~ 5) were the same as the as-grown CNTs (~ 5). Longer carbon deposition times (> 40 min) yielded lower G/D ratios after heat treatment. X-ray diffraction and electrical conductivity measurements supported the TEM and Raman results. This work demonstrates a new post-synthesis route to alter the diameter of CNTs, which may be useful in many applications.
9:00 AM - SS19.65
Formation of Ordered Metal Nanowire and Metalnanoplate Composites by Electrolysis
Vladimir Novikov 1 Sveta Filippovich 1
1The Scientific and Practical Materials Research Center of NAS of Belarus Minsk Belarus
Show AbstractA new method of ordered metal nano-wires and metal nano-platelets, as well as comb-honey like nanostructure composite was proposed. The method is based on a new phenomenon accompanying the electrolysis of salts, whereby an ordered composite is formed under certain conditions. The composite formation occur owing to two simultaneously going reactions:
(1) Me+n + e - = Me+n-1( salt deposition) and (2) Me+n + ne-=Me0( salt deposition).
The linear growth rate is the same for both components of the composite. nanosalt composite have been synthesized using aqueous as well as nonaqueous solutions.
The composite comprises an inorganic salt crystal penetrated by metal nano- and microwires or nanoplatelets. This phenomenon can serve a basis for the technology of oriented and ordered nanowire array synthesis.
Using this method we synthesized nanocomposite of following types for different application:
Cu / Cu4 P2O7( component for transparent conducting polymer composite).
Cu /CuCl (nanowire, active electrode for battery),
Fe / FeCl2; (nanowire, active electrode for battery),
FexCoy / FeCl2* Co Cl2 (nanowire, magnetic application),
FexNiy / FeCl2* Co Cl2 (magnetic application),
Ag/Ca3(PO4)2 (composite cement for dental surgery),
Pb/ FeCl2 (nanoplate),
Sn/ FeCl2(comb-honey like nanostructure),
The method is scalable, and representative.
9:00 AM - SS19.66
Graphene Interface-Enabled Growth of Vertically Aligned Carbon Nanotube on Various Substrates
Rahul Rao 1 Gugang Chen 1 Avetik Harutyunyan 1
1Honda Research Institute Columbus USA
Show AbstractGrowth of vertically aligned carbon nanotube (CNT) forests is highly sensitive to the nature of the substrate. This constraint narrows the range of available materials to just a few oxide-based dielectrics and presents a major obstacle for applications requiring their growth on conductive surfaces. Using a suspended monolayer, we show here that graphene is an excellent conductive substrate for the growth of CNT forests [1]. Furthermore, graphene is shown to intermediate forest growth on key substrates, such as Cu, Pt, and diamond, which had not previously been compatible with nanotube forest growth. Our results imply that it should be possible to grow vertically aligned CNTs on an almost any substrates, constrained only by the CNT growth conditions.
We find that the growth depends strongly on the degree of crystallinity and number of layers of graphene. The synergistic effects of graphene are revealed by its endurance after CNT growth and low contact resistances between the vertically aligned CNTs and Cu. This work establishes graphene as a unique interface that extends the class of substrate materials for carbon nanotube growth and opens up important new prospects for applications.
[1] R. Rao et al., Sci. Rep. (2013) 3, 1089
9:00 AM - SS19.67
Graphene Interface-Promoted Growth of Carbon Nanotube Forests with Enhanced Height and Density
Rahul Rao 1 Avetik Harutyunyan 1
1Honda Research Institute Columbus USA
Show AbstractVertically aligned carbon nanotube (CNT) architectures requiring both height and high densities are highly desirable in applications such as interconnects and in energy storage. However, these two physical properties are typically incompatible with each other. Typically an increase in forest density impedes the gas phase delivery of the hydrocarbon precursor to the catalyst particles, thereby restricting forest heights. Here we overcome this problem and show that both the density and the height of CNT forests on SiO2 can be enhanced by a graphene interface. Based on our recent report on graphene interface-promoted growth of CNT forests on copper substrates [1], we investigated forest growth on graphene transferred to SiO2 substrates. Vertically aligned carbon nanotubes produced by floating catalyst chemical vapor deposition exhibited heights up to twice and 30% higher densities on graphene-coated SiO2 substrates in contrast to plain SiO2 under the same growth conditions. We explain these results on the basis of increased catalyst nanoparticle stabilization by the graphene, as well as enhanced catalytic efficiencies of the iron catalyst nanoparticles supported on graphene.
[1] R. Rao et al., Sci. Rep., 3, 1891 (2013)
9:00 AM - SS19.69
Observation of Pseudoelastic Behavior in Large Cu-Ni Composite Multilayer Nanowires
Niaz Abdolrahim 1 Ioannis N. Mastorakos 1 David F Bahr 2 Hussein M. Zbib 1
1Washington State University Pullman USA2Purdue University West Lafayette USA
Show AbstractIn a previous paper (Physical Review B 81, 5, 2010) we studied the possibility of increasing the critical dimensions of nanowires for exhibiting pseudoelasticity by making trilayer Cu-Ni-Cu composite nanowires. Within a composite nanowire, made of a Ni layer sandwiched between two Cu layers, the resulting interfacial coherency stresses add to the already existing surface stresses, thus enhances the driving force and causes the nanowire to exhibit pseudoelastic behavior at cross-sectional areas larger than a single-crystalline nanowire. Our simulations showed that trilayer composite nanowires with dimensions about 3 times larger than single crystalline nanowires still exhibit pseudoelastic behavior. Here we report the observation of pseudoelasticity in composite nanowires with several numbers of layers with dimensions much larger (15 times more) than single crystalline nanowires. The key parameter is to maintain the thickness of each individual layer below the critical value, while the width of the layers can be much larger than their thickness leading to relatively large cross sections. The result is a large nanowire structure that also maintains pseudoelastic behavior with very few residual dislocations under several loading/unloading processes. The idea of sandwiching nanowires can be used in the case of metals with low twinability to improve their ductility and pseudoelastic behavior. These composite nanowires can be manufactured by cutting from multilayers using already established techniques.
9:00 AM - SS19.70
Transparent Conductive Three-Layered Composite Films Based on Carbon Nanotubes with Improved Mechanical Stability
Hans-Christoph Schwarz 1 Andreas M. Schneider 1 Bibin T. Anto 2 Stefanie Eiden 2 Peter Behrens 1
1Leibniz Universitamp;#228;t Hannover Hannover Germany2Bayer Technology Services GmbH Leverkusen Germany
Show AbstractThe development of highly transparent films containing single wall carbon nanotubes (SWNTs) is of large interest as these materials show the potential to replace indium tin oxide (ITO) in the field of optoelectronic materials. In addition, the possibility to prepare such films by solution-based processes is attractive. Previous studies showed that the application of a thin layer of highly oxidized graphene oxide nanosheets on such SWNT films results in a distinct increase of conductivity since it acts as a p-doping agent. In addition, the transparency of these modified films remains nearly constant with regard to pure SWNT films.
In general, a serious disadvantage of carbon nanotube films is their poor adhesion on different substrates. It was found that films on not-pretreated substrates (as glass or foils) can be easily washed off using water or be removed by wiping. This limits the possible application of these materials in technical processes, as further treatment or cleaning steps are restricted. To improve the adhesion and overall mechanical stability of the films, we introduced a layer of zinc oxide nanoparticles on the substrate to act as an adhesion promoter for the SWNT layer. As shown earlier, the ZnO layer does not influence the transparency of the films. Therefore, the application on the substrate results in transparent conductive films with increased resistance towards water and mechanical stress.
For the adhesion layer, zinc oxide nanoparticles with a mean diameter of 5 nm and a monomodal size distribution were used. In addition, the ZnO nanoparticles showed a good dispersability in ethanol. A three-layered composite material was produced applying the following procedure: Zinc oxide nanoparticles were spread on a glass slide as a primer layer followed by first the precipitation of SWNTs and second of graphene oxide nanosheets using spray-coatings techniques in all steps. The resulting films showed very good homogeneity, high transparency and good electrical conductivity. In addition, they exhibited a strongly improved durability against wiping tests in comparison to films created without a primer layer.
9:00 AM - SS19.71
Fast and Efficient Spectrofluorimetric Kinetic Studies of Semiconducting SWCNT Solutions Separated by Centrifugation and Gel Chromatography
John-David Ray Rocha 1 Rakan F. Ashour 1 Leonard M. Breindel 1 Ryan C. Capasse 1 Mikhail Solomonik 1
1Rochester Institute of Technology Rochester USA
Show AbstractThe application of single-walled carbon nanotubes in advanced nanoelectronics requires the separation and characterization of metallic and semiconducting (n,m) species. Conventional techniques to characterize the separated semiconducting single-walled carbon nanotubes (sc-SWCNTs) such as absorption and fluorescence spectroscopy are considered time consuming and not compatible with large industrial scale quality control. Instrumentation for fast and efficient spectrofluorimetric analysis of samples containing high purity sc-SWCNTs separated by centrifugation and gel chromatography is presented. Using multiple fixed wavelength lasers for excitation and a high-speed InGaAs photodetection system, individual (n,m) species are monitored in real-time during the separation process. Additionally, the instrument is used to monitor the effect of (n,m) chirality-based functionalization of separated samples.
9:00 AM - SS19.72
Automated Characterization and Sorting of Nanowires by Solution-Based Electro-Orientation Spectroscopy
Cevat Akin 1 Jerry Shan 1
1Rutgers University Piscataway USA
Show AbstractThe electrical conductivity and/or permittivity of nanowires and nanotubes are often poorly known and difficult to measure, requiring precision positioning, microlithography and probing to measure directly. Traditional direct-characterization methods are also not compatible with further solution-based sorting and positioning of nanowires. Electro-orientation spectroscopy, the rotation of nanowires in liquid suspension into alignment with external AC electric fields of different frequency, offers an alternative measurement technique that is simple and also compatible with further processing of nanowires. The electro-orientation crossover frequency, the electric-field frequency at which the nanowire&’s rotation rate transitions from conductivity-dominated to permittivity-dominated behavior, can be used to characterize electrical properties of the particles. We present the theory and our experimental results obtained by optical microscopy on the alignment rate of suspended nanowires of known conductivity under spatially uniform AC electric fields of different frequency. Comparison is made to direct 2-point probe measurements of the nanowires&’ electrical conductivity. We demonstrate the compatibility of the electro-orientation method with further solution-based processing by implementing the technique in a novel microfluidic device capable of automated characterization and sorting of nanowires by electrical conductivity.
9:00 AM - SS19.73
A Novel Aerosol Method for Fabrication of High Uniformity Single-Walled Carbon Nanotube Field Effect Transistors
Kimmo Mustonen 1 Toshitada Sanzen 2 Antti Kaskela 1 Patrik Laiho 1 Yutaka Ohno 2 Albert G. Nasibulin 1 Esko I. Kauppinen 1
1Aalto Univeristy Espoo Finland2Nagoya University Nagoya Japan
Show AbstractWe demonstrate a novel method for synthesis of single-walled carbon nanotubes (SWCNTs) aiming towards large-scale fabrication of carbon-based semiconductors. The method relies on a limited energy spark ablation in generation of air-borne iron catalyst particles in size range of 2-6 nm with a precisely tunable concentration. The ablation takes place in supersonic nitrogen jet. The iron particles are then introduced into a high temperature furnace (700-900 °C) together with carbon monoxide and hydrogen to form SWCNTs. Aerosol-based synthesis allows direct deposition of the as produced SWCNT material onto a desirable substrate from a nitrocellulose filter, completely preventing time-consuming and potentially detrimental liquid dispersion steps. Furthermore, having a control over the SWCNT concentration in the aerosol enables us to fabricate SWCNT networks consisting mostly on individual SWCNTs rather than their bundles. These features together, further combined with our lately developed thermal transfer technique, allows fabrication of large numbers of SWCNT field effect transistors with unprecedented uniformity (ON current 1.8 ± 0.4 x 10-7 A) and on/off ratios consistently between 106 and 107.
9:00 AM - SS19.74
Properties of Zinc Oxide Nanorods and Nanowire Grown by Different Growth Mechanism and Different Substrate at Low Temperature
SeonHo Jang 1 Seimin Kim 1 YoungWong Lee 1 JunHyuk Choi 1 Seunghyuk Lim 2 Jehyung Kim 2 YongHoon Cho 2 JaSoon Jang 1
1Yeungnam Univ. amp; LED-IT Fusion Technology Research Center(LIFTRC) Gyeongsan-si Republic of Korea2Department of Physics, Graduate School of Nanoscience amp; Technology (WCU), KAIST Daejeon Republic of Korea
Show AbstractZinc oxide is attractive as an oxide semiconductor material because of its wide bandgap (3.37eV), large exciton binding energy (60meV). ZnO has received lot of attention as a nanostructured material due to unique properties for numerous existing applications such as light-emitting-diode, energy saving, car manufacturing, and laser diode. Generally, carrying gases, vacuum condition, and metallic catalysts are known as important factors to influence ZnO nanostructure. However, temperature and growth time are more critical parameter for the growth. Applying to ZnO in LEDs, we should be required to growth at low temperature with high density of ZnO nanorods and nanowires (ZnO-NR/NW). Thus, we have investigated ZnO-NR/NW growth and its electrical and optical properties. For this work, we present the controlled synthesis of ZnO-NR/NW by thermal chemical vapor deposition without/with the use of a catalyst. We will focus on the optical properties of ZnO-NR/NW as a function of the growth parameters such as temperature, time and different substrates. We have made experiment about differential of ZnO-NR/NW growth by different source material and growth condition. On the basis of these results, we grew ZnO-NR/NW on ITO/sapphire, Ga-doped MgZnO/sapphire and ZnO film/sapphire with/without a catalyst. Mixed ZnO, graphite and Zn powder were used as source material and we use Ar gas and oxygen gas as a carrier and reaction gas, respectively. In case of Zn powder, the ZnO nanorods tend to grow upwards to forms mixed flower-combs-like and chaff -like radial arrays. It can be seen from the Field-Emission Scanning Electron Microscopy (FE-SEM) images that the ZnO-NR are 20-30 nm in diameter and about 1-2 um in length. The optical property of the ZnO-NR/NW were also investigated by Photoluminescence(PL) measurement at room temperature and low temperature (18K) by using a He-Cd laser (lambda;=325 nm) light source. More detailed characteristics and the fabrication steps will be discussed later.
9:00 AM - SS19.76
Commercially Viable SWNT Transparent Conductive Thin Films from Nanotubide Inks
Sian Fogden 1
1Linde Nanomaterials San Marcos USA
Show AbstractAlthough commercial interest has been growing into alternatives to doped metal oxides for transparent conductive thin films (TCFs), none have been able to achieve the required resistivity and transparency for commercial viability, commonly quoted in the literature as 100 ohms/sq at 90% transparency, without affecting other critical properties such as haze, environment stability, flexibility, hardness, adhesion and of course, cost. Single Walled Carbon Nanotubes (SWNTs) have shown great promise as an alternative material due to their outstanding electrical, optical and mechanical properties. However, up until now the resistivity and transparency requirements have not been met.
Using reduction dissolution technology to produce nanotubide inks, Linde Nanomaterials has been able to produce TCFs which exceed the resistivity and transparency requirements without affecting the other critical properties.
This reductive dissolution technology begins by reducing SWNTs in liquid ammonia followed by dissolution in a polar aprotic organic solvent without the need for additional mechanical energy. This mild dissolution technique results in solutions of long, undamaged, individualized SWNTs which can be deposited using spin or spray coating to make TCFs. This technique directly addresses the critical SWNT conductivity scaling factors of purity, length and bundle size to produce commercially viable TCFs. At the lab scale, photovoltaic devices made using these TCFs have been successfully produced. The developments presented here will pave the way for the mainstream use of SWNT based TCFs in both the touch and display markets.
9:00 AM - SS19.77
Metal-Dielectric-CNT Nanowires for Femtomolar Chemical Detection by Surface Enhanced Raman Spectroscopy
Ali O. Altun 1 Tiziana Bond 2 Hyung Gyu Park 1
1ETH Zurich Zurich Switzerland2Lawrence Livermore National Laboratory Livermore USA
Show AbstractWe report a highly sensitive substrate for surface enhanced Raman spectroscopy (SERS) enabled by arrays of gold coated metallic carbon nanotubes (CNTs) having a high-k dielectric (hafnia) nanolayer insert as a potential barrier. Repeated demonstration of femtomolar detection of 1,2 bis-(4-pyridyl)-ethylene in the solution phase is performed with the non-localized plasmon resonant substrate. Comparison of SERS performance with and without the hafnia potential barrier establishes the critical contribution of this dielectric nano spacer to the enhanced sensitivity. This finding is attributed to the relief of plasmon leakage in the presence of the virtual energy potential barrier. CNTs, when covered by dielectric barriers, can be a valuable template for constructing a metal-dielectric-CNT nanowire structure for a practical and reproducible SERS substrate.
9:00 AM - SS19.79
Fabrication and Characterization of GaN Nanowire Devices
Joshua B Halpern 1 Reum Scott 1 Raymond Pyle 1 Gary L Harris 1
1Howard Univeristy Washington USA
Show AbstractGaN nanowires were synthesized by a catalyst free method in which the wires are formed from small GaN platelets grown by the reaction of ammonia with Ga metal. Two kinds of wires were grown. Wurzite hexagonal wires grow from the hexagonal base of the platelets. Mixed phase zeolite and wurzite triangular wires grow from the edges. Wire thickness can be controlled by growth temperature and ammonia flow rate. Photolithography was used to generate an array of metal fingers and pads on a silicon dioxide overcoated layer of a silicon chip. The nanowires were transferred to the chip and electron beam lithography was used to make connections between the nanowires and fingers. The contacts between the wires and metal were ohmic. The chip was mounted in a ceramic chip carrier with an electrical connection to the backplane forming a nanoFET. The circuits were characterized in a probe station where they could be exposed to light at different wavelengths. The conductivity roughly doubled upon exposure and return to the original state required multiple hours. As described by Calarco, et al, for MBE grown GaN nanowires, photocurrent in the GaN nanowire is governed by depletion space charge layers; a result of Fermi-level pinning at the surface of the wire.(1) Pinning at the surface results in the electronic bands, bending upward at the surface of the wire. Electrons prefer the inner part of the wire and holes migrate to the surface. Due to their separation, recombination of non-equilibrium carriers is reduced or restricted if recombination via surface traps in the forbidden band is the dominant mechanism. Electrons would have to surpass the conduction band barrier at the surface for surface recombination. Hindered surface recombination due to the presence of depletion space charge layers explains the persistent photoconductivity.
(1) Calarco, R.; Marso, M. ; Richter, T.; Aykanat, A.; Meijers, R. Nano Letters, 2005, 5, 981
9:00 AM - SS19.80
Determination of Specific Contact Resistance of Ge2Sb2Te5 Phase Change Materials by Spacer Etched Nanowires
Ruomeng Huang 1 Ruiqi Chen 1 Behrad Gholipour 2 Kian Shen Kiang 1 Kai Sun 1 Yudong Wang 1 CH "Kees" De Groot 1
1University of Southampton Southampton United Kingdom2University of Southampton Southampton United Kingdom
Show AbstractPhase change materials (PCM) based memory device is considered as one of the most promising candidates for next-generation non-volatile solid-state memory. The set and reset states in this device correspond to a low resistance and a high resistance of the cell, which in-turn correspond to the crystalline and amorphous states of the phase change material, respectively. The total resistance of a phase change memory cell, however, consists of the resistance from the PCM and the interfacial contact resistance of the PCM to the electrodes. Although a large amount research has been done on characterization of PCM resistance, little attention is paid to study the contact resistance. Here in this work, the contact resistance of Ge2Sb2Te5 to titanium nitride (TiN) electrode has been characterized in both set and reset states using a nanowire structure obtained from spacer etch. This spacer etch is a novel technique and can be used as a low-cost alternative to E-beam lithography for sub-hundred nanometre nanowire fabrication. Unlike bottom-up technology, it is compatible with current CMOS process and the geometry and location of the nanowires can be precisely controlled. In this case it allows us make long structures with small contact area to separate the resistive contribution of bulk and interface.
A high-insulating silicon dioxide (SiO2) layer was first patterned by photolithography and etched to form a step with a depth of 100 nanometers. A 100 nm layer of Ge2Sb2Te5 was deposited by sputtering and anisotropically etched using an ion beam, leaving a spacer of Ge2Sb2Te5 next to the oxide structure.
Three different lengths (20 mu;m, 25 mu;m and 30 mu;m) of Ge2Sb2Te5 nanowires with same cross-section area (50 nm × 100 nm) were fabricated by space etching process. TiN electrodes with a thickness of 200 nm were then patterned on both sides of the nanowire by lift-off. The electrical characterization reveals the resistivity of the as-deposited Ge2Sb2Te5 nanowire material to be 0.6 Omega;.m. The specific contact resistance between the TiN electrode and amorphous Ge2Sb2Te5 was extracted to be 3.59×10-6 Omega;.m2. Then nanowires were then thermally switched to crystalline state with resistivity of 3.37×10-4 Omega;.m and specific contact resistance of 7.07×10-9 Omega;.m2. Even for these very long wires, the Roff/Ron ratio of 1.78x103 is partially determined by the contact resistance. These results indicate that for real memory cell layout, the contact resistance is the dominant factor in Ge2Sb2Te5 phase change memory devices.
9:00 AM - SS19.81
Improved Performance of Flexible Dye-Sensitized Solar Cells by Hierarchical TiO2 Nanostructures with High Surface Areaarea
Bo Chen 1 2 Shashank Priya 1 2
1Virginia Tech Blacksburg USA2Virginia Tech Blacksburg USA
Show AbstractDye-sensitized solar cells (DSSCs) have attracted extensive scientific and industrial interest as an alternative to the conventional silicon photovoltaics devices due to their low cost, possibility to achieve decent efficiency, and eco-friendly properties. Titanium foil has been demonstrated as an excellent substrate for flexible DSSCs due to its high-temperature tolerance, low sheet resistance, good flexibility, and superior corrosion resistance to iodine. High surface area for dye adsorption and ease of charge separation and transport are the key parameters for photoanode to improve the efficiency of light harvesting for DSSCs. Even though TiO2 nanoparticles have high specific surface, the trapping-detrapping processes within nanoparticles and at the grain boundaries cause serious charge recombination, which limits the electron diffusion coefficient and DSSCs efficiency. One-dimensional (1D) TiO2 nanostructures (such as nanorods, nanowires, and nanotubes) could provide a direct pathway for rapid electron transport and slow charge recombination. However, the small surface area of those 1D TiO2 nanostructures limits the dye absorption amount and photovoltaic performance.
In this paper, we demonstrate three different methods to increase the surface area of 1D TiO2 nanostructures. First, hydrothermal treatment of anodic TiO2 nanotubes in 0.01 M (NH4)2TiF6 at 120 degree C for 20 min was used to grow wire-in-tube architecture with the nanoparticles decorated on the surface of both inner wire and tube outer shell, which effectively doubles the surface area compare to as-grown TiO2 nanotubes. Second approach is soaking the anodic TiO2 nanotubes in 0.01 M NaOH solution for two days, which leads to the development of many nanorods with aspect ratio ~4 inside the tube inner wall and outer shell. Third approach is to create bamboo-type TiO2 nanotubes by alternating voltage anodization. As a result, those hierarchical TiO2 nanostructures with high surface area greatly improve the dye loading amount and the efficiency of DSSCs. Using these increase surface area structures, new DSSCs are being synthesized. We will present data highlighting the prominent effect of these surficial modification on cell parameters.
9:00 AM - SS19.82
Sn3O4 Nanobelts as Active Channels to Field Effect Transistors
Olivia Maria Berengue 1 Leonardo Martins Amaral 2 Edson Roberto Leite 3 Adenilson Jose Chiquito 2
1Samp;#227;o Paulo State University Guaratinguetamp;#225; Brazil2Federal University of Samp;#227;o Paulo Samp;#227;o Carlos Brazil3Federal University of Samp;#227;o Paulo Samp;#227;o Carlos Brazil
Show AbstractMetallic oxides nanostructures have been extensively studied in last years due to their potential technological applications. These nanostructures deserve significant attention because of their unique combination of interesting structural, optical and electronic properties which make them a promise of smaller, faster and cheaper nanodevices. Tin oxide has been pointed as one of these interesting materials because of its enormous potential applications including gas sensors and field effect transistors. In fact, tin oxide nanobelts and nanowires exhibiting tetragonal rutile type structure are largely investigated materials (SnO2 phase). The other and nonstoichiometric phases of tin oxide such as Sn3O4 are less studied but also present interesting properties which can be explored in order to develop new electronic devices such as a field effect transistor. It is still a challenging task to obtain the complete characterization of their structural and electronic properties especially when the quantum mechanical interactions cannot be neglected. In this sense we report on the growth of high quality single crystalline Sn3O4 nanobelts (vapor-solid mechanism in association with the well-known carbothermal reduction process) and structural characterization of these nanostructures by using scanning electron microscopy (SEM), transmission electron microscopy (TEM) and Raman spectroscopy. The association of these powerful techniques provided us with data about nanobelt&’s crystalline character (single crystalline features and quality) and morphology. One-nanobelt based devices were fabricated and the electrical characterization of individual Sn3O4 nanobelts (current-voltage curves) was performed at different environmental conditions: in different temperatures, in dark and under UV illumination (250 nm mercury lamp, 0.7 mW cm-2). These measurements revealed a photo-excited behavior of the Sn3O4 which can be related to chemical reactions at the nanobel&’s surface. Key information on nanobelt&’s electron transport such as carriers mobility and density were obtained by construction three terminal devices (field effect transistor) in which an unique Sn3O4 nanobelt acts as the channel material. The UV photoresponse effect was also studied by illuminating the field effect transistor with UV light: it was observed an increase in conductance as the UV is turned on (forward bias) as a consequence of the presence of defect levels in the Sn3O4 bandgap which affects the adsorption and desorption of oxygen species at the nanobelt&’s surface. These reactions were found to be controlled by increasing and decreasing the gate voltage which suggests that Sn3O4-based device can be suitable for the development of UV sensors.
9:00 AM - SS19.84
Microfluidic Optoelectronic Sensor Array for Detection of Dissolved Gases
Yael Zilberman 1 Shideh Kabiri Ameri 1 Sameer R Sonkusale 1
1Tufts University Medford USA
Show AbstractWe are developing a microfluidic device with the embedded optoelectronic cross-reactive sensor array for analyzing dissolved gases (e.g. carbon dioxide and ammonia) in liquid samples. The array is composed of multiple cross-reactive microsensors contained microwells filled with polymeric microbeads doped with organic dyes sensitive to changes in chemical environment (e.g. pH). The sensor configuration allows incorporation of virtually any combination of organic dyes, either colorimetric or fluorescent, providing a route for cross reactive sensing. Due to the versatile optical arrangement which includes a white LED and a miniature portable USB spectrometer, optical spectra are easily recorded and translated into electronic readouts. Monitoring the entire visible spectrum could lead to unique multi-dimensional signatures based on optical response of various dyes at different wavelengths. Such cross-reactive readouts will capture multiple physical and chemical interactions between the dissolved gases and the composite sensing material and, therefore, are expected to improve sensitivity and selectivity. We demonstrate that the unique configuration of the halochromic ion pairs (anionic dyes coupled to quaternary ammonium cations) and porphyrin complexes ionically immobilized within ion-exchange resin microbeads provides excellent sensitivity to different concentrations (ppm levels) of dissolved carbon dioxide and ammonia. Each dye provides a distinct response that, in addition, varies as a function of wavelength. Such multidimensional data readout can be very helpful for detection in complex chemical environments, where there are many possibilities for interference with other chemical compounds. Our findings could lead to the development of a cost-effective, light weight, and low-power sensor for detection of dissolved gases for a variety of applications.
9:00 AM - SS19.85
High Performance SWCNT Transparent Conductive Films in Macro- and Microscale
Antti Kaskela 1 Andrej Znidarsic 2 Patrik Laiho 1 Miran Gaberscek 2 Albert Nasibulin 1 Yutaka Ohno 3 Abdou Hassanien 2 Esko Kauppinen 1
1Aalto University School of Science Espoo Finland2National Institute of Chemistry Ljubana Slovenia3Nagoya University Nagoya Japan
Show AbstractHere we report use of aerosol-CVD process, based on catalytic decomposition of carbon monoxide, for synthesis of high purity single walled carbon nanotubes (SWCNTs) with controllable bundle length, transmittance and sheet resistance, demonstrating high performance thin conductive films with 84 Ohm/sq at 90 % (1, 2). Gas filtration is used to form the SWCNT networks, which can be processed to wide range of substrates by dry press transfer method. The developed synthesis and processing techniques are used to demonstrate applications such as touch sensors, solar cells and thin-film transistor channels.
We also report study of low density SWCNT samples with conducting atomic force microscopy technique to estimate the contact and length resistances and to study the impact of acid doping to both contact and length resistance of SWCNTs (3). Spatially resolved IV-measurements of SWCNTs and bundles with varying diameters suggest that the resistance per unit length is in range 3 - 16 kOmega;/mu;m and the contact resistances in range 29 - 532 kOmega;. The contact resistance decreases with increasing SWCNT or bundle diameter and depends on the contact morphology, reaching a value of 29 kOmega; at a diameter of 10 nm. Nitric acid treatment chemically dopes SWCNTs and reduces their average contact resistance by a factor of 3 while the resistance of the nanotubes remains largely unaltered.
(1) A. Kaskela, et.al., “Aerosol-Synthesized SWCNT Networks with Tunable Conductivity and Transparency by a Dry Transfer Technique,” Nano Lett., vol. 10, no. 11, pp. 4349-4355, Nov. 2010.
(2) A. G. Nasibulin, et.al., “Multifunctional Free-Standing Single-Walled Carbon Nanotube Films,” ACS Nano, vol. 5, no. 4, pp. 3214-3221, Apr. 2011.
(3) A. Znidarsic, et.al., “Spatially Resolved Transport Properties of Pristine and Doped Single-Walled Carbon Nanotube Networks,” J. Phys. Chem. C, p. 130618094040002, Jun. 2013.
9:00 AM - SS19.86
Zno Nanowire-Based Gas Sensor: Fabrication and Sensitivity Modulation
Caroline Inamp;#234;s Lisevski Sombrio 1 Mariana P. Gionbelli 1 Andre L. F. Cauduro 1 Paulo L. Franzen 1 Henri I. Boudinov 1 Daniel L. Baptista 1
1Universidade Federal do Rio Grande do Sul Porto Alegre Brazil
Show AbstractSingle or multiple ZnO nanowire-based devices can be fabricated and applied as fast and high sensitive sensors. Such devices can be used to stably detect very small quantities (p.p.b.) of a certain substance, such as CO, O2, NH3, NO, H2S even at room temperature. Defects, surface morphology and oxygen-adsorption behavior play a crucial role on the device sensitivity. Such sensitivity may also be modulated and enhanced by ion irradiation/implantation at appropriate doses.
In this work, the sensitivity of single and multi nanowire devices is modulated by using swift heavy and light ion irradiation. The devices were fabricated by electron beam lithography and lift-off processes. ZnO nanowires were previously grown on sapphire substrate by catalytic vapor phase method and then dispersed in 2-propanol. The solution was dripped on SiO2/Si wafers and the wires were then contacted by Ti/Au nanocontacts. Electrical transport measurements were performed in a gas sealed chamber by using a Keithley 2612A system. The nanowires were irradiated with heavy (Au) and light (He) ions. Native and irradiation-induced point-defects in the ZnO wurtzite structure were monitored through PL measurements carried out at room and low temperatures using a 266 nm CW Laser. High-resolution TEM was also performed using a Titan 80-300 microscope aiming to probe crystallographic characteristics. The results show a relative intensity decrease of whole PL defect-level visible emission band concern the UV near- band edge for intermediate ion fluences. It indicates an enhanced dynamic annealing effect during irradiation allowing it to heal low migration barrier point-defects such as oxygen interstitials (OI ), zinc interstitials (ZnI ), zinc antisites (ZnO) and oxygen antisites (OZn). On the other hand, low temperature PL indicates a relative increase of the 1.7 eV emission with the ion fluence. This short-infrared emission is believed to be a deep donor state directly related to oxygen vacancies (VO), which present higher stability. Such defect seems to play a major influence on the modulation of the gas detection sensitivity of ZnO nanowire-based devices. Thus, ion irradiation is able to modulate the nanowire electron concentration and therefore exerting influence on the depletion/accumulation regimes, which are responsible for the sensor activity.
9:00 AM - SS19.87
Ingap Nanowires: Growth Mechanisms for a Core-Shell Structure and Nanoparticle Stability
Douglas S Oliveira 1 Luiz H.G. Tizei 1 2 Thiago L Vasconcelos 3 Carlos A Senna 3 Braulio S Archanjo 3 Daniel Ugarte 1 Monica Alonso Cotta 1
1Unicamp Campinas Brazil2Universitamp;#233; Paris-Sud Orsay France3INMETRO Duque de Caxias Brazil
Show AbstractSemiconductor nanowires (NWs) have shown promising applications to new generations of devices due to their electronic properties and geometric configurations. Despite early experiments and the huge advance in NW science in the past decade, new structures and aspects of NW growth dynamics can still be obtained. In this work we focus on the growth of ternary InGaP nanowires, by Chemical Beam Epitaxy. The vapor-liquid-solid (VLS) growth was achieved using Au nanoparticles as catalysts. The nanowires exhibit a core-shell structure due to the vapor-solid (VS) growth which occurred at the nanowire sidewalls; different crystallographic orientations and crystal phases were observed which affected the In/Ga ratio in the In-rich core. VS growth rates also determined the shell dominant facets and material volume around the core. Asymmetries in shell composition and volume lead to nanowire bending and eventually to the displacement of the nanoparticle from the top position towards a <111>-oriented metal-semiconductor interface. Moreover, the meandering nanoparticle leaves Ga-rich regions along its path after growth termination, indicating a much higher In affinity with the Au catalyst. The stress field due to the strained core-shell structure can also originate screw dislocations which drive the growth of twisted wurtzite nanowires.
9:00 AM - SS19.88
Localized Growth and In-Situ Functionalisation of Ge Nanowires for Advanced Low Power Gas Sensors
Jordi Sama 1 Sven Barth 2 Juan Daniel Prades 1 Michael S. Seifner 2 Olga Casals 1 Isabel Gracia 3 Joaquin Santander 3 Carlos Calaza 3 Luis Fonseca 3 Carles Cane 3 Albert Romano-Rodriguez 1
1Universitat de Barcelona (UB) Barcelona Spain2Technical University Vienna Vienna Austria3IMB-CNM-CSIC Bellaterra Spain
Show AbstractThe research efforts devoted to the development of advanced electronic devices based on nanowires are continuously increasing as a consequence of the beneficial properties of these nanomaterials, namely their high surface-to-volume ratio and well-controlled physical and chemical properties [1]. The fabrication of such materials has been addressed by multitude of research groups throughout the world and the number of publications and fabrication methods reported are huge.
One important barrier that hampers the incorporation of these materials into electronic and functional devices is the requirement, in most cases, of precise manipulation and positioning of the nanowires and this is not always possible or the process cannot be fulfilled with enough throughput. Sometimes alternative inventive methods need to be tested and developed. One of these methods has been recently presented by the authors [2] and has been demonstrated for different semiconducting nanowires. Its basic principle is the direct site-selective growth of nanowires, using the VLS process, on top of CMOS compatible micromembranes or microhotplates, that incorporate an integrated heater in order to provide the thermal energy necessary to synthesize the nanowires, as well as interdigitated electrodes to contact the nanowires. This approach has been demonstrated for two different nanowire materials, but the possibilities offered by this method are enormous.
In this work we present an extension of this method for the fabrication of Ge nanowires on top of microhotplates consisting in the additional in-situ functionalisation of the surface of the nanowires using amine-based chemistry [3]. This treatment has modified the surface of the nanowires, which are no longer covered by an uncontrolled GeOx layer but by a well known amine termination, allowing a better control of their surface.
The devices, fabricated as a direct application of this one-step method, have been proven to be effective as gas sensor even at room temperature and have been tested towards NO2 and CO pulses in a synthetic air atmosphere on a constant gas flow, showing a high response to low concentrations of toxic gas species, in contrary to the same Ge nanowires without any functionalisation step.
The here presented strategy can be further extended to other chemistries and paves the way to a new fabrication route to nanodevices.
[1] S. Barth et al. “Synthesis and applications of one-dimensional semiconductors” Prog. Mater. Sci. 2010, 55, 563.
[2] S. Barth et al. “Localized growth and in situ integration of nanowires for device applications”, Chem. Comm. 2012, 48, 4734.
[3] M. A. Filler et al. “The surface as molecular reagent: organic chemistry at the semiconductor interface“ Prog Surf. Sci. 2003, 73, 1.
9:00 AM - SS19.89
Spontaneous High Piezoelectricity in Kilometer long PVDF Nanoribbon Arrays Produced by Iterative Size Reduction Technique
Mehmet Kanik 1 2 Ozan Aktas 2 3 Mehmet Bayindir 1 2 3
1Institute of Materials Science and Nanotechnology Ankara Turkey2Bilkent University Ankara Turkey3Bilkent University Ankara Turkey
Show AbstractA closer look into applications of piezoelectricity such as bio-sensing, pressure sensing, energy generation, artificial muscle and skin discloses that not only highly piezoelectric, but also flexible, lightweight, and biocompatible materials are demanded. Although many ceramic materials are known to be good piezoelectric, they suffer from high brittleness, low cyclic endurance, high processing temperatures, and high production cost as well as toxic elemental composition. On the other hand, having none of these drawbacks, PVDF is a promising candidates for the replacement of ceramic piezoelectric materials, due to its high piezoelectricity, flexibility, and chemical resistance. The prominent processes for the production of PVDF nanowires are all solution based and they are not superior in all respects considering aspect ratio, uniformity, geometry control, yield, and large area device integrability. The challenging problems confronted in the field can be solved by introducing fundamental changes, starting from the fabrication technique. In this study, we obtained kilometer-long, globally oriented, polymer encapsulated, high piezoelectric PVDF microribbon and nanoribbon arrays produced by using a novel top-to-bottom nanofabrication technique developed in our group (Yaman, Bayindir et al., Nature Materials, July 2011). Initially, a preform which is a macroscopic copy of final fibers comprises a PVDF slab embedded in a polyether sulfone (PES) encapsulation layer is transformed into fibers in a fiber tower. The first step fibers bundled into a second PES preform for diminishing the PVDF ribbons into nanoscale. We obtained kilometer-long hundreds of 25 nm thick, 100 nm wide, polymer encapsulated and piezoelectric nanoribbon arrays after a following third step. By adding a fourth fiber drawing step, we decreased ribbons size down to sub-nanometer. Due to process conditions (shear stress and temperature) used in thermal fiber drawing, as-produced PVDF micro and nanoribbons contain high amount of polar γ phase without requiring any electrical poling. γ phase PVDF is important for piezoelectric, ferroelectric and pyroelectric applications owing to high Curie temperature and high piezoelectric coefficient but it is known to be experimentally hardly accessible. To our knowledge, here we report the highest effective piezoelectric ever measured from a single γ phase PVDF using a piezoelectric evaluation system along with an AFM instrument. Manual manipulation of nanoribbons on any type of substrate and extracting them out of their cladding by dissolving the polymer encapsulation layer with organic etchants provide opportunities for building piezoelectric devices such as artificial skin, smart textile, ultra high energy density nanocapacitor, nano-energy generator, and crossbar non-volatile memory.
9:00 AM - SS19.90
Floating Contacts on Vertical Arrays of ZnO Nanowires for Biosensing Applications
Jonathan Evans 1 Steve Wilks 2
1Swansea University Swansea United Kingdom2Swansea University Swansea United Kingdom
Show AbstractZinc oxide nanowire (ZnO NW) based devices have received substantial interest, particularly in photovoltaic and sensing applications. ZnO is a naturally n-type wide band gap semiconductor that displays unique features such as a large piezoelectric coefficient and exciton binding. Its high isoelectric point, biocompatibility and fast electron transfer kinetics make it promising for biosensing applications.
A common approach to contacting as-grown vertical NW arrays is to embed the NWs in a supporting encapsulation layer, allowing deposition of a metal top contact onto the NW tips. Typically in photovoltaic or pressure sensing applications, this encapsulation layer will remain as part of the device. For sensing applications, where removal of the encapsulation layer and exposure of the NW surface is required, this approach has not been widely explored, despite offering increased surface area and signal averaging over traditional approaches based on lateral NW FETs.
A new vertical integration method for sensing applications has been developed to cap NW arrays with suspended metal contacts. Cross-linked negative photoresist is used as an encapsulation layer, allowing the patterning of a metal contact layer to be conducted on the support using positive photoresist. Precise control of array height and contact separation as well as encapsulation layer removal is achieved through a combination of wet and dry etch methods.
Utilising this innovative metallisation procedure, a novel structure for a ZnO NW based sensor has been developed and fabricated using conventional microfabrication techniques. The sensor consists of 50 µm wide microtrenches filled with arrays of high aspect ratio vertically aligned ZnO NWs grown via chemical vapour deposition to a length of 10 µm on titanium contact layers. The metallisation procedure allows the trenches to be closed with a metal top contact. This effectively creates microchannels filled with arrays of NW sensing elements, through which a fluid or gas may be flown and hence detected.
The local conductance of the NW sensing elements is "gated" by the charge of the molecule adsorbing or binding to the NW surface. To demonstrate the utility of this sensing device, the NWs were functionalised to allow detection of the inflammatory cytokine tumour necrosis factor alpha (TNF-α). Selective sensing was achieved by immobilising the TNF antibody on an amine group terminating modified ZnO NW surface.
9:00 AM - SS19.91
Mesoscopic Dynamic Modeling of Structural, Thermal, and Impact Resistance Properties of Vertically Aligned Carbon Nanotube (VACNT) Forests
Bernard Kai Wittmaack 1 Alexey N. Volkov 1 Leonid V. Zhigilei 1
1University of Virginia Charlottesville USA
Show AbstractVertically aligned carbon nanotube (VACNT) forests are investigated using a coarse grained dynamic model that enables computationally efficient representation of systems consisting of tens of thousands of carbon nanotubes (CNTs). The model represents nanotubes as chains of stretchable cylindrical segments and accounts for the internal stretching, bending, and buckling of individual CNTs, as well as the inter-tube van der Waals interactions. A description of the energy exchange between the dynamic degrees of freedom of the mesoscopic model and the vibrational modes of CNTs that are not explicitly represented in the model is included through a “heat bath” approach designed and parameterized based on the results of atomistic simulations. The structural properties of simulated VACNT forests accurately reproduce those found in various experimentally grown CNT materials. Thermal conductivity is calculated for several representative forest structures, and the relative importance of the intrinsic heat conduction of CNTs and inter-tube heat exchange is analyzed. A series of simulations of nanoparticle impact on VACNT forests are performed for a range of initial velocities and diameters of the projectiles. The mechanisms of the impact energy dissipation are investigated, and the main channels of the energy propagation from the impact site are revealed in the simulations.
9:00 AM - SS19.93
Resistance Drift in Nanoscale Amorphous Ge2Sb2Te5 Line-Cell Structures
Lindsay Sullivan 1 Zoila Jurado 1 Faruk Dirisaglik 1 Gokhan Bakan 1 Mustafa Akbulut 1 Yu Zhu 2 Chung Lam 2 Helena Silva 1 Ali Gokirmak 1
1University of Connecticut Storrs USA2IBM Watson Research Center Yorktown Heights USA
Show AbstractPhase change memory (PCM) is one of the most promising emerging nonvolatile memory technologies, where electrical resistance of the device is used to store information. Resistance of these devices can be toggled between very high and low levels, typically 2-3 orders of magnitude apart, by switching the phase of the active region between resistive-amorphous and conductive-crystalline phases using electrical pulses. Ge2Sb2Te5 has a stable crystalline phase, and it is the most commonly studied phase change material at the present time. Single-bit phase change memory devices are in mass production as of 2012. In order to make this technology more competitive, multi-bit-per-cell storage possibilities are being investigated. Multi-bit-per-cell storage requires stability in the amorphous phase of GST (a-GST) to maintain sufficient memory windows between the states. However, resistance of amorphous GST has been reported to increase (drift) over time following a power law (R ~ tn)1 and has been observed to accelerate at higher temperatures2.
In this study, the resistance drift of melt-quenched a-GST is monitored from the first 1 ms to 106 s (4-5 days) at various temperatures (300 to 400 K). The measurements are performed on nano-scale line-cell structures. The effect of device geometry and electrical pulse conditions on the resistance-drift will be presented, and the possible mechanisms giving rise to this drift will be discussed.
References
1. Agostino Pirovano, Andrea L. Lacaita, Fabio Pellizzer, Sergey A. Kostylev, Augusto Benvenuti and Roberto Bez, Electron Devices, IEEE Transactions on 51, 714 (2004).
2. IV Karpov, M. Mitra, D. Kau, G. Spadini, YA Kryukov and VG Karpov, J. Appl. Phys. 102, 124503 (2007).
9:00 AM - SS19.94
Fabrication of Novel 3-D Homogenous and Hybrid Nanostructures through Directed Nanoparticle Assembly for Highly Sensitive Plasmonic Based Biosensing
Cihan Yilmaz 1 Arif Engin Cetin 2 Adnan Korkmaz 1 Sivasubramanian Somu 1 Hatice Altug 2 Ahmed Busnaina 1
1Northeastern University Boston USA2Boston University Boston USA
Show AbstractThree-dimensional (3-D) hybrid nanostructures have generated significant interest in many fields, including biomedical, optics, energy and electronics because they provide enhanced functionality and improved performance compared to planar nanostructures. Creation of these novel nanostructures using current fabrication techniques is often difficult due to the lack of versatility, limited material choice and the use of complex chemical additives. Herein, we introduce a material independent, room pressure and temperature manufacturing process for fabricating 3-D hybrid nanoscale structures. In this process, colloidal nanoparticles (NPs) are precisely assembled and fused into 3-D nanostructures in a single step using an externally applied electric field. In contrast to conventional methods such as electrodeposition or thin film deposition, this method can fabricate solid nanostructures from inorganic or organic NPs made of conducting, insulating, or semiconducting materials. The method can fabricate 3-D nanostructures such as nano-pillars, nano-boxes and nano-rings with feature sizes as small as 25 nm, and do it in less than a minute over a large area (wafer scale). Using this technique, we have fabricated nanopillars made from Au, W, Cu, PSL, Si, SiO2, and CdSe and their hybrid derivatives. Novel heterojunctions such as metal-insulator, metal-semiconductor and semiconductor-insulator have also been created. The precise control of nanostructure dimensions is investigated via simulation results as well as various experimental parameters such as the voltage, frequency, time and particle concentration. TEM (transmission electron microscopy) and electrical characterizations reveal that manufactured gold nanostructures have polycrystalline nature and very low resistivity (1.96×10-7 Omega;*m). The fabricated novel 3-D nanostructures also demonstrate high optical quality supporting strong plasmonic resonances with line-widths as narrow as 13 nm. This enabled highly sensitive plasmonic based biosensing of Immunoglobulin G (IgG) protein. These results indicate that the presented versatile, fast and controlled approach will facilitate the creation of novel 3-D nanomaterials while enabling scalable fabrication of hybrid nanoelectronic, optical metamaterial and biological devices.
9:00 AM - SS19.95
Preparation and Properties of Aqueous Conducting and Semiconducting Carbon Nanotube Dispersions
Carissa S Jones 1 Christopher Landorf 1 Marriana Nelson 1 Joseph Demster 1 Yongqing Jiang 1 Vijaya Kayastha 1 Stephen Gibbons 1
1Brewer Science Inc Rolla USA
Show AbstractCarbon nanotubes (CNTs) exhibit a range of unique properties and have attracted significant attention from both academia and industry [1,2]. As-produced tubes have a range of chirality distributions and possess either semiconducting or conducting electronic characteristics. However, in order to realize these properties, tubes with desired electronic characteristics must be dispersed into a suitable medium that allows for application-specific deposition of CNT-based inks and, thus, device fabrication.
Raw CNTs are insoluble in water and exhibit poor solubility in common solvents. Consequently, they require the use of either chemical modification or a dispersing agent, such as a surfactant, to effect dispersion. Chemical treatments can be used to covalently modify CNTs and impart good solubilization characteristics to them; however, such modification often results in loss of desirable electronic properties. Moreover, due to the undesirable properties of dispersing agents, they must be removed after the CNTs have been deposited. Such a removal step not only disrupts the film, which negatively impacts performance, but also generates large volumes of waste.
We will present a novel method for dispersing either conducting or semiconducting CNTs in water. Our methodology does not require the use of additives that require a post-deposition treatment step. Furthermore, our method preserves the desirable electronic characteristics of the raw tubes in the derived inks, which can then be modified for a variety of commonly used printing techniques. The physicochemical properties and printing metrics of the conducting and semiconducting CNT-based inks prepared using this methodology will be discussed.
[1] D. Tasis, N. Tagmatarchris, A. Bianco, and M. Prato, “Chemistry of Carbon Nanotubes,” Chemical Reviews, v. 106, no. 3, pp. 1105-1136, 2006.
[2] L. Hu, D.S. Hecht, and G. Gruner, “Carbon Nanotube Thin Films: Fabrication, Properties, and Applications,” Chemical Reviews, v. 110, pp. 5790-5844, 2010.
9:00 AM - SS19.96
Growth of Nanotube Forests And Measurement of the Area Density of the Tubes
Hisashi Sugime 1 Santiago Esconjauregui 1 Bingan Chen 1 John Robertson 1
1Cambridge University Cambridge United Kingdom
Show AbstractThe growth of vertically-aligned carbon nanotube forests has been widely studied for nanotube use in interconnects, heat spreaders, and supercapacitors. The highest density forests are synthesised by chemical vapour deposition using supported nanoparticles as catalyst; the area density and diameter of the tubes are intimately related to those of the catalyst particles. In this communication, we show a number of alternatives to improve the uniformity of the tubes or to increase the density of the forests. We first employ Fe catalyst prepared by atomic layer deposition. This deposition technique allows us to homogeneously grow high-density, double-walled nanotube forests (mean nanotube diameter is 3.8 nm) on planar and concave surfaces. We then evaluate Co-Mo co-catalyst on conductive Cu-Ti supports. Co-Mo promotes the growth of ultra-high mass density nanotube forests (up to 1.6 g cm-3) at low temperatures (450 °C); the forests and Cu-Ti show ohmic conductivity (lowest resistance is ~20 kOmega;). Finally, we evaluate the cyclic catalyst preparation, [1] using Fe as catalyst and Al deposition in between cycles. This allows us to nucleate forests of highly-packed, single-walled nanotubes (up to 1013 cm-2), and to reliably assess the area density of the forests by the weight gain method. [2] In doing this, we thoroughly analyse and give a procedural methodology to ensure a comparable density assessment. The as-derived densities are compared to those extracted from the filling factor, and show a good correspondence. Each of these approaches is potentially useful for many of the envisaged applications of nanotube forests.
[1] Esconjauregui et al. ACS Nano 4, 7431 (2010)
[2] Esconjauregui et al. J. Appl. Phys. 113, 144309 (2013).
9:00 AM - SS19.97
Ammonia-Plasma Treated Graphene as Support for the Growth of Nanotube Forests
John Robertson 1 Santiago Esconjauregui 1 Rob Weatherup 1 Lorenzo D'Arsie' 1
1Cambridge University Cambridge United Kingdom
Show AbstractCarbon nanotube forests may find applications as interconnects in integrated circuits, heat spreaders, and supercapacitors. Such applications require the growth of the nanotube forests directly onto conductive metallic materials. However, these supports generally lead to inhomogeneous forest growth, with the tubes growing unaligned and with very low densities. [1] Graphene, of metallic nature and one-atom thick layer, has been proposed as intermediate material (between conductive materials and
tubes) to facilitate forest growth. [2] This approach requires further development as to increase the density of the forests and the crystallinity of the tubes. In this communication, we show how ammonia plasma treatment [3] on transferred graphene [4] facilitates the formation and anchoring of catalyst nanoparticles. This allows us to grow high-density nanotube forests on a wide range of conductors including pure metals, TiN, and silicides. The plasma creates active nucleation sites on graphene, for nanoparticle immobilization, without unduly altering its conductive properties. The area density of the forest appears to depend on the conducting support material underneath.
[1] Esconjauregui et al. Appl. Phys. Lett. 95, 173115 (2009)
[2] Rao et al. Scientific Reports 3, 1891 (2013)
[3] Esconjauregui et al. J. Appl. Phys. 112, 034303 (2012)
[4] Weatherup et al. Nano Lett. 11, 4154 (2011)
9:00 AM - SS19.98
Synthesis of Vertically Uniform Cuo/Zno Core-Shell Nanowire Arrays
Chanseok You 1 Sanggon Kim 1 Yeonghyo Lee 1 Yeon Ho Im 1
1Dept of Earth and Envron Sci Jeonju Republic of Korea
Show AbstractRecently, one-dimensional hetero-nanostructures have attracted great interest as versatile multifunctional building blocks due to their potential applications in electronics and photonic devices, solar cells, gas sensor and novel catalysts. For various applications such as solar cells and energy catalysts, we demonstrated novel synthesis route of vertically uniform CuO/ZnO core-shell nanowire arrays on vertically long ZnO nanowires by an electrophoretic assisted CuO electroless chemical deposition. For this work, ZnO nanowires were grown up to few tens um by the conventional hydrothermal method on ZnO seed layer of Si substrate. In the next step, CuO seed without any catalysts was formed uniformly on the surface of ZnO nanowires by electrophoretic deposition under wet solution environment. Finally, vertically conformal ZnO/CuO core-shell NWs arrays were synthesized by electroless CuO deposition, which was subjected by kinetic-limited deposition. The conformal ZnO/CuO core-shell nanowire arrays with high aspect ratio will provide a promising platform for various applications because of the maximized junction area or active sites.
9:00 AM - SS19.99
Visible Light Assisted Gas Sensing with TiO2 Nanowire
Jie Zhang 1 Andrei Kolmakov 1 2
1SIUC Carbondale USA2NIST Gaithersburg USA
Show AbstractTitania is a well-studied material for photocatalitic water splitting and dissociation of toxic molecules. While UV light is required for photo-induced reactivity of pristine material, doping of the TiO2 with C and/or N creates extrinsic states within the band gap, making the conductance of this wide band semiconductor responsive to visible light. Benefiting of these properties we fabricated TiO2 rutile single crystal nanowires configured as chemiresistors. These nanowires were doped with carbon impurities during carbon assisted vapor-solid growth. Similar to other semiconducting oxide nanowires such as SnO2, titania single nanowire device can operate as sensitive sensors for detecting reducing (CO, H2) and oxidizing (O2) gases. However, different from SnO2, TiO2 nanowire chemiresistors exhibit improving sensing performance when illuminated with the visible light. of the prospect of combining light harvesting and sensing action in a single nanostructure. We explain this effect in terms of photo-catalytic action that in combination with large surface-to-volume ratio of the nanowires facilitates the generation and transport of the electrons to/from the nanowire surface and thus promotes the adsorption-/desorption of donor-/ acceptor molecules. This effect of visible light assisted gas sensing opens the possibility for fabrication of room temperature solar driven devices.
SS14: Characterization
Session Chairs
Thursday AM, December 05, 2013
Sheraton, 2nd Floor, Grand Ballroom
9:30 AM - SS14.02
Optically Detected Magnetic Resonance Investigation of GaP and GaP/GaNP/GaNP Nanowires
Jan Eric Stehr 1 A. Dobrovolsky 1 Y. J. Kuang 2 S. Sukrittanon 3 C. W. Tu 4 W. M. Chen 1 I. A. Buyanova 1
1Linkamp;#246;ping University Linkamp;#246;ping Sweden2University of California La Jolla USA3University of California La Jolla USA4University of California La Jolla USA
Show AbstractRecently, group III-V compound semiconductor nanowires (NWs) and associated core/shell nanostructures have attracted increasing interest due to their potential applications in optoelectronic and photonic devices. GaP based materials have among the group III-V materials the smallest lattice mismatch to Si and can, therefore, easily be integrated into existing Si based devices. That yields the possibility to combine the capabilities of the mature Si technologies with the high optical efficiency of III-V compound semiconductors. In order to achieve a full scale exploitation of the material in device applications it is necessary to understand and control the formation of defects which affect the optical properties of the material strongly.
A powerful technique to investigate chemical identity and local structure of defects in thin films and nanostructured materials is optically detected magnetic resonance (ODMR). In this work we employ this technique to study GaP NWs as well as GaP/GaNP/GaNP core/shell/shell NWs grown under different conditions along the crystallographic [111] axis on Si substrates. In GaP/GaNP/GaNP NWs we can observe an ODMR signal, detected via the visible emission, that can be described by the spin-Hamilton parameters g#8741; = 2.002, gperp; = 2.013, A#8741; = 330 x 10-4 cm-1 and Aperp; = 130 x 10-4 cm-1. The ODMR signal is negative, so it corresponds to a microwave-induced decrease in the PL intensity. This implies that the responsible defect most likely acts as a competing recombination center. An ODMR signal with the same spin Hamiltonian parameters was observed earlier for planar GaP/GaNP structures grown on [001] GaP substrates and was labeled as DD1. It was attributed to a defect experiencing a hyperfine interaction of an unpaired electron with a spin of S = 1/2 and a 31P nucleus with a nuclear spin of I = 1/2. DD1 was concluded to be a complex defect involving a PGa antisite or a Pi interstitial aligned with a neighboring partner along a crystallographic [111] axis. This defect seems to form only on the GaNP side of the GaP/GaNP interface and cannot be detected in bulk GaNP. Now the question arises if the interface alone is the crucial parameter for the formation of DD1 or the combination of an interface and the presence of N. To answer this question, we have investigated GaP NWs grown with different V-III ratios which exhibit interfaces between wurtzite inclusions and their usual zinc blende structure. It was found that GaP NWs do not exhibit the DD1 signal which implies that the interface alone is not the only requirement to form DD1 and that the presence of N also plays a crucial role.
9:45 AM - SS14.03
Nanoscale Mapping of the Optical Emission and Carrier Diffusion in Doped and Alloyed (In,Ga)N Nanorods
Xiang Zhou 1 Ming-Yen Lu 2 Yu-jung Lu 3 Eric Jones 1 Shangjr Gwo 3 Silvija Gradecak 1
1Massachusetts Institute of Technology Cambridge USA2National Chung Cheng University Min-Hsiung, Chia-Yi Taiwan3National Tsing Hua University Hsinchu Taiwan
Show AbstractFunctional nanowire and nanorod optoelectronic devices such as light emitting diodes and lasers rely on effective and controlled doping and alloying in these nanoscale heterostructures. Nanoscale correlation of materials properties to doping and alloying is essential in evaluating their functionality and improving device design and fabrication. In this work, we use cathodoluminescence (CL) coupled with scanning transmission electron microscopy (STEM) as a facile and non-destructive method to determine and investigate the junction position, carrier diffusion lengths, and preferential quenching of radiative recombination in doped GaN nanorods and InGaN/GaN nanodisk-in-rod heterostructures.
We demonstrate that the metallurgical junction position in individual GaN p-n nanorods can be determined by examining the intensity profiles of CL emissions characteristic of dopant-related transitions. Mg acceptor level at 0.24 eV above the valence band was determined by comparing the CL spectra in n- and p-doped GaN in the same nanorod. In addition, CL quenching inside the nanorod was attributed to structural defects due to nanorod coalescence at the nanowire base and unpassivated surface states in n- and p-doped GaN nanorod portions, respectively. Minority carrier diffusion lengths of 160 nm and 650 nm in Si- and Mg-doped GaN, respectively, were extracted from CL intensity profiles. These parameters are comparable to previously reported values in GaN nanowires and thin films. We also correlate optical properties to alloying in individual nanorod axial heterostructures. Inside InGaN/GaN disk-in-rod nanostructures with multiple InGaN segments, preferential CL emission and quenching were observed in nanodisks at specific locations. We used structural analysis and simulations to correlate radiative processes with the indium composition, surface capping layers, and strain. These results will aid the design and fabrication of more efficient and reliable III-nitride nanorod based optoelectronic devices, and the methods demonstrated are applicable to investigate nanowire heterostructures in other materials systems.
10:00 AM - SS14.04
Isolated Core-Shell Nanowires Studied by Anomalous Coherent X-Ray Diffraction
Sabine Haag 1 Marie-Ingrid Richard 4 2 Vincent Favre-Nicolin 3 Udo Welzel 1 Stephane Labat 4 Olivier Balmes 2 Marc Gailhanou 4 Gunther Richter 1 Eric Mittemeijer 1 Olivier Thomas 4
1MPI-Stuttgart Stuttgart Germany2ESRF Grenoble France3UJF/CEA-Grenoble Grenoble France4IM2NP, Aix-Marseille University Marseille France
Show AbstractThe characterization of nano-sized core-shell heterostructures remains a challenge. A non-destructive method to analyze their microstructure locally in terms of the elemental distribution as well as strain gradients lacks up to now.
Recently, we have demonstrated that anomalous coherent X-ray diffraction imaging is a promising and attractive method to map the shape, concentration and deformation fields simultaneously inside coherent and coherently diffracting nanostructures [1]. The technique even permits in situ experiments owing to its non-destructive nature. This opens new pathways for state-of-the-art investigations on the coupling between chemical intermixing and stresses in nanomaterials.
During an in situ annealing experiment, we also demonstrated that core-shell morphology was preserved in Ag/Au nanowire at temperatures that are reported to lead to significant intermixing by volume diffusion in bulk material. Under these conditions, the rate of intermixing in the nanowire was lower than expected for bulk diffusion [2].
[1] S. Haag, M.-I. Richard, et al., Phys. Rev. B 87, 035408 (2013).
[2] S. Haag, M.-I. Richard, et al., Nano Lett. 13, 1883 (2013).
SS15: Growth of CNT's and Related Structures
Session Chairs
Thursday AM, December 05, 2013
Sheraton, 2nd Floor, Back Bay C
10:00 AM - SS15.02
A One-Pot Mechanochemical Assisted Method for the Fabrication of Polymer/SWCNT Composites with Application in Sensors
Jens Bomholdt Ravnsbaek 1 Lily Chen 1 Timothy Manning Swager 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractThe development of novel materials for applications in sensing of volatile organic compounds is of great interest. Last year, our group reported a novel method for simple, fast and cheap fabrication of chemi-resistive sensors based on the abrasion of single-wall carbon nanotubes (SWCNTs) composite materials onto paper substrates.1 This method has proven effective for sensor fabrication based on composite materials of SWCNTs and small organic molecule selectors. In efforts to further improve sensitivity and selectivity of this method, we envisioned the use of polymers as scaffolds for the arrangement of selectors. We have recently developed a method for the solid state polymerization of 1,4-bis(chloromethyl)benzene derivatives to yield poly(phenylene vinylene)s promoted by ball-milling.2 This method provides fast, easy and solvent-free access to conjugated polymers. We envisaged that combining these two concepts would allow the rapid synthesis of polymer/SWCNT composite materials ideally suited for abrasion-based sensor fabrication.
In this talk, we present a one-pot ball-mill promoted method for the fabrication of polymer/SWCNT composite materials. The ball-mill promoted polymerization of poly(phenylene vinylene)s in the presence of SWCNTs provides a direct route to selector-varied polymer/SWCNT composite materials. This method allows for a fast and easy preparation of composite materials that can be utilized in abrasion-based sensor fabrication. These new composite materials are utilized in array-based sensing schemes for the detection of volatile organic compounds.
1) Mirica, K. A.; Weis, J. G; Schnorr, J. M.; Esser, B; Swager, T. M., Angew. Chem. Int. Ed. 2012, 51, 10740-10745
2) Ravnsbaek, J. B. Swager, T. M., manuscript in preparation
SS14: Characterization
Session Chairs
Thursday AM, December 05, 2013
Sheraton, 2nd Floor, Grand Ballroom
10:15 AM - SS14.05
In Situ TEM Study of Ni-Silicide Nucleation on Silicon Nanowires
Federico Panciera 1 2 Yi-Chia Chou 2 3 4 Mark C. Reuter 2 Stephan Hofmann 1 Frances M. Ross 2
1University of Cambridge Cambridge United Kingdom2IBM Yorktown Heights USA3National Chiao Tung University Hsinchu city Taiwan4Brookhaven National Laboratory Upton USA
Show AbstractSilicon nanowires (SiNWs) have garnered considerable interest for a variety of applications including field-effect transistors (FETs), energy storage, and chemical and biological sensors. Formation of Ohmic contacts to these devices is necessary to achieve optimal device performance. In planar metal-oxide-semiconductor field effect transistors, the nickel monosilicide (NiSi) has been used as an Ohmic contact material since the 65 nm technology node [1] and it is now currently employed in volume production of integrated electronic circuits. This phase presents a very low resistivity and offers a wide process window because it forms at temperatures lower than 400°C and it is transformed into the high resistivity NiSi2 phase only at temperatures above 800°C. Surprisingly, during silicidation of vapor-liquid-solid (VLS) grown Si nanowires, the first forming phase is typically NiSi2, and NiSi has only rarely been observed. This unexpected phase sequence has been explained by kinetics considerations and by the excellent matching between NiSi2 and Si lattices [2, 3].
In this work we show a different pathway for the formation of NiSi2 in nanowires: we find that the Au droplet can act as a catalyst for the Ni-Si reaction that leads to immediate formation of NiSi2 at low temperature. Si (111) NWs having a radius between 20 and 50 nm were synthesized in an ultrahigh vacuum transmission electron microscope [4] using the VLS method with Au as the catalyst and disilane as the precursor gas. A 1 nm-thick Ni layer was then deposited by electron beam evaporation at room temperature without breaking the vacuum. During subsequent annealing at 500°C, the formation of a silicide was observed and it was identified by selected-area-electron-diffraction as the NiSi2 phase. Once the NW growth was restarted by flowing the disilane precursor gas, the silicide particle becomes incorporated into the NW forming a Si-silicide heterostructure in which a nanoscale silicide region is embedded epitaxially within the nanowire. By repeating the process, we show that multiple silicide particles can be embedded within a single nanowire. We will present video-rate imaging of the nucleation, growth and incorporation of the silicide, and discuss the mechanism and kinetics. We will finally discuss the potential applications of these new heterostructures for future nanowire-based devices.
References:
[1] C. Lavoie, F. M. d&’Heurle, C. Detavernier, and C. Cabral, Jr., Microelectron.Eng. 70, 144 (2003).
[2] K. Ogata, E. Sutter, X. Zhu and S. Hofmann, Nanotechnology 22, 365305 (2011).
[3] Y. C. Chou, K. C. Lu, K. N. Tu, Mater. Sci. Eng. R 70, 112-125 (2010).
[4] F. M. Ross, Rep. Prog. Phys. 73, 114501 (2010).
SS15: Growth of CNT's and Related Structures
Session Chairs
Thursday AM, December 05, 2013
Sheraton, 2nd Floor, Back Bay C
10:15 AM - SS15.03
Three-Dimensional Macroscopic All-Carbon Scaffolds
Gaurav Lalwani 1 Andrea Trinward Kwaczala 1 Shruti Kanakia 1 Sunny C Patel 1 Stefan Judex 1 Balaji Sitharaman 1
1Stony Brook University Stony Brook USA
Show AbstractThe assembly of fullerenes, carbon nanotubes and graphene into three-dimensional (3-D) structures is necessary to harness their remarkable physico-chemical properties for a large number of applications such as the next-generation energy storage, catalytic, electro-mechanical, photonic, and biomedical devices [1-2]. Over the last decade, 3-D pristine carbon nanotube structures have been fabricated on the sub-micron and micron scale by chemical vapor deposition, substrate patterning, and capillary-induced self-assembly [3-4]. Macroscopic scale (> 1mm in two or all three dimensions) structures of vertically aligned or entangled networks of pristine CNTs have also been fabricated. However, the suitability of these approaches to form covalent bonds between CNTs; an important feature for many applications,[5] or synthesize 3-D macroscale structures using other carbon nanomaterials such as fullerenes, and graphene still has to be demonstrated. These approaches present a practical challenge to develop macroscopic-scale (> 1mm in all 3 dimensions) carbon devices; either due to scalability issues, or high operational cost.
We report a facile method to fabricate macroscopic, 3-D, freestanding, all-carbon scaffolds (porous structures) using multiwalled carbon nanotubes (MWCNTs) as the starting materials [6]. The scaffolds prepared via radical initiated thermal crosslinking, and annealing of MWCNTs possesses macro-scale interconnected pores as determined by microCT, liquid extrusion porosimetry and SEM image processing. They possess robust structural integrity (elastic modulus = 45.72 ± 18.78 MPa, hardness = 3.47 ± 1.73 MPa), thermal stability (15.06% weight loss between 150-500°C), and electrical conductivity (2 × 10-1 S cm-1). Raman spectroscopic and XPS analysis showed that carbon and oxygen were the primary elements in the scaffolds. Additionally, TEM and HRSEM analysis confirms the crosslinking of carbon nanotubes to form a macroscopic three-dimensional scaffold.
Varying the amount of radical initiator can control the porosity of the 3-D structure, thereby allowing the design of porous scaffolds tailored towards specific potential applications. Furthermore, 3-D scaffolds using other carbon nanomaterials such as single-walled carbon nanotubes, fullerenes, and graphene, can be fabricated indicating that it could be used as a versatile method for 3-D assembly of carbon nanostructures with pi bond networks. The fabrication process of the scaffolds is rapid, cheap, and scalable, and can be adapted to fabricate scaffolds with various geometries (e.g. cylinders, disks) thereby opening avenues for structure-function studies towards the development of macroscopic all-carbon devices.
References:
[1] H. Dai, Acc Chem Res 2002, 35, 1035 [4] Chakrapani N, Proc Natl Acad Sci U S A 2004, 101, 4009.
[2] D. M. Sun, Nat Nanotechnol 2011, 6, 156.[5] D. P. Hashim, Sci. Rep. 2012, 2.
[3] M. De Volder, Adv Mater 2010, 22, 4384. [6] Lalwani G, Carbon 2013, 53, 90-100.
SS14: Characterization
Session Chairs
Thursday AM, December 05, 2013
Sheraton, 2nd Floor, Grand Ballroom
10:30 AM - SS14.06
Signatures of N Incorporation in Raman and Optical Properties of GaP/GaNP Core/Shell Nanowires
A. Dobrovolsky 1 Per Persson 1 Y. J Kuang 2 S. Sukrittanon 2 C. W Tu 2 W. M Chen 1 Irina Buyanova 1
1Linkoping University Linkoping Sweden2University of California La Jolla USA
Show AbstractGaP/GaNP core shell NWs is a novel material system that has been most recently suggested for applications in solar cells. Adding nitrogen not only allow to tune the bandgap energy of GaNP alloy but also causes splitting of conduction band (CB) states, promising for intermediate band solar cells with improved efficiency. The purpose of this work is to investigate effects of N incorporation on band structure of such GaP/GaNxP1-x core/shell NWs using photoluminescence (PL) and photoluminescence excitation (PLE) spectroscopies. Structural quality of the wires will be also evaluated from Raman measurements.
The GaP/GaN0.009P0.991core/shell NWs studied in this work were grown on Si (111) substrates by gas-source molecular beam epitaxy (MBE). The GaP NW cores were grown under the vapor liquid-solid (VLS) mechanism, whereas the GaNP shell was formed via the step-mediated growth. The resulted core/shell NWs were found to have an axial length of about 2.5 mu;m, a total diameter of about 220 nm, and a typical diameter of the GaP core of ~110 nm. According to performed TEM measurements, the NWs predominantly have zincblende structure with some inclusions of the wurtzite crystal phase.
Excellent structural quality of the wires was concluded based on the performed Raman measurements. The Raman scattering spectra were found to contain several first-order Raman modes including intense and sharp peaks at 366 and 403 cm-1 and weaker modes at 387, 397 and 499 cm-1. The first two modes are typical for zinc-blende GaP and are related to transverse-optic (TO) and longitudinal-optical (LO) phonons, respectively. The spectral positions of these modes were unaffected by the N incorporation indicating that the formed GaNP shell is unstrained. The Raman mode at 499 cm-1 peak is related to the Ga-N bond vibrations, confirming the formation of the GaNP alloy. The 397 cm-1 peak can be identify as a surface optical (SO) phonon mode due to its sensitivity to the dielectric constant of an external medium.
It is also found that incorporation of N causes a dramatic increase of the PL intensity, which can be easily detected at room temperature even from a single wire. This is accompanied by a shortening of the PL decay time revealed from the performed transient PL measurements. We attribute these changes to the N-induced transformation of the band gap from the indirect one in GaP to a direct band gap in the GaNP alloy. Secondly, N incorporation causes a red shift of the fundament absorption edge revealed via the PLE measurements due to the bowing effect. The red shift of the conduction band (CB) edge is accompanied by a strong blue shift of the Γ CB state. This is ascribed to the splitting of the host CB states that are strongly perturbed by N. The revealed changes in the band structure are potentially beneficial for the applications of GaNP/GaP NWs in novel intermediate band solar cell structures with high efficiency.
SS15: Growth of CNT's and Related Structures
Session Chairs
Thursday AM, December 05, 2013
Sheraton, 2nd Floor, Back Bay C
10:30 AM - SS15.04
Dopants as Morphology Promoters: A Fundamental Study of the Role of Boron and Sulfur in the Formation of MWCNT Junctions
Ana Laura Elias Arriaga 1 Lakshmy Pulickal Rajukumar 1 Amber McCreary 1 Arava Leela Mohana Reddy 2 Kaushik Kalaga 2 Nestor Perea-Lopez 1 Martha Audiffred 3 David Swanson 1 Humberto Rodriguez Gutierrez 4 Robert Vajtai 2 Vincent Meunier 5 Bobby G. Sumpter 6 Pulickel M. Ajayan 2 Humberto Terrones 1 Mauricio Terrones 1 7
1The Pennsylvania State University University Park USA2Rice University Houston USA3Universidad de Guanajuato Guanajuato Mexico4University of Louisville Louisville USA5Rensselaer Polytechnic Institute Troy USA6Oak Ridge National Laboratory Oak Ridge USA7Shinshu University Matsumoto Japan
Show AbstractMuch has been said about the individual roles of sulfur [1] and boron [2] in the synthesis of carbon fibers and nanotubes (CNTs). We have studied the role of both boron and sulfur together during the synthesis of CNTs, via an aerosol assisted chemical vapor deposition (CVD) process. During our experiments, a solution containing Toluene (C6H5CH3) and Ferrocene (C10H10Fe) together with small amounts of B and S precursors was pyrolyzed in an ultrasonic generator. The mist was carried by an Argon flow through the quartz reaction tube inside a furnace at temperatures ranging between 800-900 °C. The resulting material consisted of CNT-based hierarchical structures. The incorporation of both boron and sulfur in the precursor solution induces CNT junctions, causing the micron-size carbon fibers to be decorated with radially grown CNTs that resemble “nanotentacles.” The materials were characterized using SEM, HRTEM, EELS, TGA, XRD, XPS, and Raman spectroscopy. Finally, because of their high surface area, these synthesized structures have been tested for their possible application in supercapacitors and Li-ion batteries.
[1] J. M. Romo-Herrera, et. al., Adv. Funct. Mater. 19 (2009) 1193-1199
[2] D. P. Hashim, et. al., Sci. Report 2 (2012) 363
SS14: Characterization
Session Chairs
Thursday AM, December 05, 2013
Sheraton, 2nd Floor, Grand Ballroom
10:45 AM - SS14.07
Novel Semiconducting Silicon Nanotube
Eric Perim 1 Ricardo Paupitz 2 Tiago Botari 1 Douglas S. Galvao 1
1State University of Campinas Campinas-SP Brazil2Universidade Estadual Paulista Rio Claro Brazil
Show AbstractDue to some similarities between carbon and silicon chemistry, some carbon nanostructures have been found to have a silicon analogue and vice-versa. One example is nanotubes, where silicon structures have long been studied and shown to have similar morphology to those of carbon nanotubes [1]. A more recent example is the case of silicene, a two-dimensional honeycomb silicon sheet which is the silicon equivalent to graphene, except for being buckled as a consequence of the pseudo-Jahn-Teller effect [2]. Important experimental advances in the synthesis of silicene have been recently achieved [3]. In part because of this, there is a renewed interest in the investigation of new possible silicon nanostructures.
In this work we report the discovery of a new kind of silicon nanotube (SiNT), with no equivalent chiral indices. It consists of the smallest possible silicon nanotube, with a very small cross section and exhibiting a semiconductor character. We investigated its structural stability and electronic structure using an ab initio DFT method based on the DMOL3 code [4]. All calculations were carried out within the generalized gradient approximations (GGA) and using the Perdew-Burke-Ernzerhof (PBE) functional [5]. Our results show that this new SiNT is stable at room temperature and presents a bandgap of less than 1 eV. The same structure made of carbon atoms showed to be completely unstable and always collapsed and/or broke up.
[1] S. B. Fagan et al, Phys. Rev. B, v61, 9994 (2000).
[2] D. Jose and A. Datta, J. Phys. Chem. C, v116, 24639 (2012).
[3] P. Vogt et al, Phys. Rev. Lett., v108, 155501 (2012).
[4] B. Delley, J. Chem. Phys., v92, 508 (1990). DMol3 is available from Accelrys, Inc., as part of Materials Studio and the Cerius2 program suites http://www.accelrys.com.
[5] J. P. Perdew, K. Burke and M. Ernzerhof, Phys. Rev. Lett., v77, 3865 (1996).
SS15: Growth of CNT's and Related Structures
Session Chairs
Thursday AM, December 05, 2013
Sheraton, 2nd Floor, Back Bay C
10:45 AM - SS15.05
Purification of Aligned Arrays of Single Walled Carbon Nanotubes by Microwave Irradiation
Xu Xie 1 Ahmad Ehteshamul Islam 1 Sun hun Jin 1 Frank Du 1 Wilson William 1 John Rogers 1
1University of Illinois at Urbana-Champaign Urbana USA
Show AbstractWell aligned arrays of pure semiconducting single walled carbon nanotubes (SWNTs) have exceptional electrical properties, which render them as promising candidates in applications like logic circuits, radiofrequency (RF) transistors, nanoscale light emitters and sensors. The ‘bottom-up&’ method of creating such arrays, starting from growing aligned SWNTs on quartz substrate and doing purification afterward, is attractive due to its ability to yield nearly perfectly aligned and chemically pristine SWNTs. The main challenge is in removing the metallic SWNTs. Here we present an operationally simple, scalable, economical and effective technique to achieve this goal by using microwave irradiation. Microstrip dipole antennas concentrate microwave in a way that enables, through several processing steps, preferential destruction of metallic SWNTs. Experimental and theoretical studies reveal the underlying mechanisms. We demonstrate the effectiveness by studying the properties of field effect transistor (FET) made from the purified arrays.
SS14: Characterization
Session Chairs
Thursday AM, December 05, 2013
Sheraton, 2nd Floor, Grand Ballroom
SS15: Growth of CNT's and Related Structures
Session Chairs
Thursday AM, December 05, 2013
Sheraton, 2nd Floor, Back Bay C
11:30 AM - SS15.06
Unraveling Dissociation of Ethanol Molecules in Initial Stage of Carbon Nanotubes Growth via an ACCVD Technique :Ab Initio Molecular Dynamics Simulation
Tomoya Oguri 1 Kohei Shimamura 2 3 Yasushi Shibuta 1 Fuyuki Shimojo 2 Shu Yamaguchi 1
1The University of Tokyo Tokyo Japan2Kumamoto University Kumamoto Japan3Kyushu University Fukuoka Japan
Show AbstractThe formation mechanism of carbon nanotubes and the role of catalytic metals in the growth of single-walled carbon nanotubes (SWNTs) via a catalytic chemical vapor deposition (CVD) method have been widely studied from both many computational and experimental point of view. At the present, most of the numerical studies focusing on the growth of carbon nanotubes start from isolated carbon atoms and therefore ignores the initial dissociation process [1]. However, it is not yet straightforward to treat the dissociation and subsequent cap formation processes simultaneously in computational simulations because of the discrepancy between the time scales for these processes. In addition, a low-impact interatomic potential describing the dissociation of the carbon-source molecules appropriately has not been established, making it difficult to investigate the initial dissociation process using classical MD simulations. Moreover, it is difficult to observe the initial stage process of SWNTs formation directly in the experiment. Thus, we have investigated the dissociation process of various carbon source molecules on the catalytic metal by the ab intio MD simulation [2]. In this study, dissociation of ethanol molecules on the nickel cluster is investigated by ab initio molecular dynamics and nudged-elastic-band (NEB) simulations to unravel the initial stage of metal-catalyzed growth process of carbon nanotubes via an alcohol catalytic chemical vapor deposition. It has been observed that both C-C and C-O bonds in ethanol molecules are dissociated on the nickel cluster, which is followed by the formation of various reaction products such as hydrogen atoms and molecules, carbon monoxide, oxygen atom, water, ethylene, methane and their fragments. In addition, the NEB analysis indicates that the activation energy of the C-H bond dissociation in the fragment molecules on the nickel cluster is approximately one-eighth of the value obtained from the bond-dissociation energy of the C-H bond without the influence of the nickel cluster. It indicates the nickel cluster acts as the activator of the dissociation process of carbon source molecules by reducing the activation energy. In the presentation, our recent results will be introduced after the brief review of recent computational works on this topic.
[1] Y. Shibuta, Diamond and Related Materials, 20 (2011) 334-338
[2] T. Oguri, K. Shimamura, Y. Shibuta, F. Shimojo, S. Yamaguchi, J. Phys. Chem. C 117 (2013) 9983.
SS16: III-V Nanowire Growth
Session Chairs
Thursday AM, December 05, 2013
Sheraton, 2nd Floor, Grand Ballroom
11:30 AM - *SS16.01
Self-Assembled 0D, 1D and 2D Quantum Structures In-a-Nanowire: Direct Correlation Between Physical Properties and Structure at Atomic Scale
Jordi Arbiol 1
1ICREA amp; ICMAB-CSIC Bellaterra Spain
Show AbstractInherent to the nanowire morphology is the exciting possibility of fabricating materials organized at the nanoscale in three dimensions. Composition and structure can be varied along and across the nanowire, as well as within coaxial shells. This opens up a manifold of possibilities in nanoscale materials science and engineering which is only possible with a nanowire as a starting structure. As the variation in composition and structure is accompanied by a change in the band structure, it is possible to confine carriers within the nanowire. Interestingly, this results in the formation of local two, one and zero-dimensional structures from the electronic point of view and this, within the nanowire. This novel palette of nano-structures paves the way towards novel applications in many engineering domains such as lasers, high-mobility transistors, quantum information and energy harvesting. In the present work we summarize and give an overview on recent achievements in the design and growth of advanced quantum structures in nanowire templates. The quantum structures presented have been grown by molecular beam epitaxy and correspond to different confinement approaches: quantum wells (2D), quantum wires (1D) and quantum dots (0D). The quantum structures presented will be integrated in single nanowires, their structure and morphology at atomic scale will be determined by means of aberration corrected STEM, 3D atomic models will be obtained and the final enhanced optical properties discussed.
SS15: Growth of CNT's and Related Structures
Session Chairs
Thursday AM, December 05, 2013
Sheraton, 2nd Floor, Back Bay C
11:45 AM - SS15.07
Synergistic Carbon Nanotube Growth Results in Spatially Varying Patterns and Enables Engineering of Uniform Micropillar Arrays
Mostafa Bedewy 1 Brittan Farmer 2 A. John Hart 1 3
1University of Michigan Ann Arbor USA2University of Michigan Ann Arbor USA3Massachusetts Institute of Technology Cambridge USA
Show AbstractControl of the uniformity of vertically aligned carbon nanotube (CNT) structures, in terms of both geometry (e.g. size, height, spacing) and nanoscale morphology (density, diameter and alignment), is crucial for many applications and for scalable manufacturing. However, many studies report complex and sometimes unexplained spatial variations among micron-scale CNT pillars in patterned arrays. We present a mathematical model that describes the origins of synergistic growth effects, i.e., spatially varying CNT heights and growth rates, among growing CNT pillars based on the chemical coupling between micron-scale catalyst regions. Via this model and experimental results, we show that the catalytic decomposition of the feedstock hydrocarbon (or of the thermally decomposed derivatives thereof) at the catalyst surface produces gaseous by-products that act as active species in promoting CNT growth. The local concentration of these active species modulate the growth rate of CNTs in the vicinity of the catalyst region, and this concentration decays as theses active species diffuse to the surroundings. Thus, the geometric pattern of the catalyst controls the evolving spatial distribution of active species concentration, and accordingly controls CNT growth rates and activation kinetics. Further, our model reveals that there is a threshold concentration of these active species that is necessary for CNT self-organization and “lift-off”. Below this threshold, the density of actively growing CNTs is not high enough for a self-supporting vertical CNT alignment, explaining the lack of vertical growth sometimes observed at the substrate perimeter. Combining this spatial chemical coupling model with individual CNT growth models enables simulation of CNT pillar growth kinetics, in a framework analogous to prediction of area-dependent chemical etch rates of silicon wafers. Using this framework, we finally show that pattern geometry and growth conditions can be optimized to achieve highly uniform or spatially programmed heights and shapes of as-grown CNT micropillars.
SS16: III-V Nanowire Growth
Session Chairs
Thursday AM, December 05, 2013
Sheraton, 2nd Floor, Grand Ballroom
12:00 PM - SS16.02
Self-Assisted Gaas Nanowire Growth by MOCVD: Large Range Diameter Control
Sema Ermez 1 Filippo Fabbri 1 2 Eric Jones 1 Silvija Gradecak 1
1Massachusetts Institute of Technology Cambridge USA2IMEM-CNR Institute Parma Italy
Show AbstractGaAs nanowires are of particular interest due to the intrinsic properties of GaAs including high absorption coefficient and high electron mobility combined with nanowire low-dimensionality. Self-assisted growth mechanism, where one of the elements of the nanowire is used as seed particle, eliminates possible contamination from foreign metal seed particles. Self-assisted GaAs nanowires grown via molecular beam epitaxy have been reported in literature, but metal-organic chemical vapor deposition (MOCVD) has not been explored so far despite the fact that MOCVD is an industrial-scale technique that can yield high growth rates and high nanowire throughput.
In this study, highly uniform, dense GaAs nanowire arrays were grown by MOCVD using in-situ deposited Ga droplets as seed particles. Nanowire diameter has been controlled over a large range: depending on the Ga droplet deposition conditions, average diameter can be controlled between 250 nm to 900 nm, while maintaining nanowire length-to-diameter ratio between 5 to 10. Self-assisted GaAs nanowire growth is realized by a two-step approach: (1) in-situ Ga droplet formation, where Ga precursor is deposited at high temperatures (500°C - 600°C) to form Ga droplets on the GaAs substrate, and (2) nanowire growth at lower temperatures (~420°C) using Ga droplets as seed particles. The two-step approach has been found crucial; high temperatures are required for efficient Ga precursor decomposition and large surface diffusion length of Ga adatoms, which affects the nanowire diameter and density. On the other hand, in the second step, lower growth temperatures are necessary to promote one-dimensional growth and to minimize lateral growth on nanowire facets. Room temperature optical characterization performed by cathodoluminescence in scanning electron microscopy (CL-SEM) on single nanowires shows near band edge emission at 1.42 eV, confirming GaAs emission. By utilizing in-situ deposited Ga droplets as seed particles we have achieved contamination-free GaAs nanowire arrays with diameters suitable for optoelectronic applications.
12:15 PM - SS16.03
Understanding Self-Aligned Planar Growth of InAs Nanowires
Yunlong Zi 1 Kyooho Jung 2 Dmitri Zakharov 3 Chen Yang 1 2
1Purdue University West Lafayette USA2Purdue University West Lafayette USA3Purdue University West Lafayette USA
Show AbstractSemiconducting nanowires have attracted lots of attentions because of their potential applications, such as electronics, photonics and sensors. High quality semiconductor nanowires synthesized by various vapor deposition methods are typically free standing on the growth substrates, and post growth processing is often required for large scale device applications. Self-aligned planar nanowires grown epitaxially on the substrate have shown advantageous properties such as being twin defect free and ready for device fabrication, opening potentials for the large scale device applications. Understanding of planar nanowire growth, which is essential for selective growth of planar vs. free standing wires, is still limited. We reported different growth behaviors for self-aligned planar and free-standing InAs nanowires on InAs (100) and (111)B substrates under identical growth conditions, respectively. We presented a new model based on a revised Gibbs-Thomson equation for the planar nanowires. Using this model, we predicted and successfully confirmed through experiments that higher arsenic vapor partial pressure was expected to promote free-standing InAs nanowire growth. A smaller critical diameter for planar nanowire growth was also predicted, and planar nanowire growth with diameter mostly in range of 10-18 nm was achieved experimentally. Successful control and new understanding of planar and free-standing nanowire growth established in our work open up potentials of large scale integration of self-aligned nanowires for practical device applications.
12:30 PM - SS16.04
Direct Observation of the Vapor-Liquid-Solid Mechanism in Reverse
Bethany Hudak 1 Yao-Jen Chang 1 Guohua Li 1 Lei Yu 1 Daniel O. Earle 1 Beth S. Guiton 1 2
1University of Kentucky Lexington USA2Oak Ridge National Laboratory Oak Ridge USA
Show AbstractThe well-known vapor-liquid-solid (VLS) mechanism results in high-purity, single-crystalline wires with few defects and controllable diameters, and is the method of choice for the growth of nanowires for a vast array of nanoelectronic devices. It is of utmost importance, therefore, to understand how such wires interact with metallic interconnects - an understanding which relies on comprehensive knowledge of the initial growth process, in which a crystalline wire is ejected from a metallic particle. Though ubiquitous, even in the case of single elemental nanowires the VLS mechanism is complicated by competing processes at multiple heterogeneous interfaces. We will discuss the use of in situ imaging using a transmission electron microscope heating holder to investigate the VLS mechanism in real-time. In particular we will present data showing the complete dissolution of metal oxide nanowires into the metal catalyst particles at their tips, suggesting that ejection of material from the particle via a reverse reaction or evaporation step could play a key role both in the growth, and also in determining the stability, of VLS-grown wires in contact with metallic material. We find that if heated to moderately high temperatures typical for many devices such as sensors, solar cells, and field-effect transistors, wires grown by the VLS mechanism will undergo a reverse reaction, dissolving back into, and evaporating out of, the original metal nanoparticle. Supporting evidence is provided using energy dispersive x-ray spectroscopy in conjunction with in situ imaging to track the changing composition of the liquid catalyst particle as the wires undergo this process.
12:45 PM - SS16.05
VLS Growth of Position-controlled InP Nanowires and Formation of Radial Heterostructures on Mask-Patterned InP Substrates
Kenichi Kawaguchi 1 Hisao Sudo 1 Manabu Matsuda 1 Mitsuru Ekawa 1 Tsuyoshi Yamamoto 1 Yasuhiko Arakawa 2
1Fujitsu Laboratories Ltd. Atsugi Japan2The University of Tokyo Tokyo Japan
Show AbstractInP-based nanowires (NWs) are attractive as building blocks for near-infrared optical nano-devices. To realize individually addressable vertical-type NW devices, the NWs must be position-defined with sufficient separations, which is different from the approach used in previous works on high-density NWs for devices composed of NW bundles [1]. In the present work, we investigated the vapor-liquid-solid (VLS) growth of position-controlled InP NWs with wurtzite (WZ) crystal phase on SiO2-mask-patterned InP substrates with assistance of Au catalysts. Patterned substrates were fabricated by using InP(111)B substrates with 50-nm-thick SiO2 films, followed by forming two-dimensional array of 100-nm-diameter openings with 40-nm-thick Au films. Pattern densities for a pitch range of 10 - 100 mu;m, which offer a space to place the electrodes of the current-injection devices, were intensively studied. InP NWs were grown at 400°C by MOVPE, accompanied by in-situ sulfur-doping for enhancing formation of the WZ crystal phase [2]. The axial growth rate of the InP NWs on the patterned substrates was found to be controllable to the same order as that of the conventional VLS growth of NWs on InP substrates without SiO2 masks. However, in addition to the vertical Au-catalyst NWs, plural NWs were formed in the inclined directions from a single opening. This result suggests that group-III materials that are not incorporated in Au catalysts easily accumulate in the mask openings possibly via vapor phase diffusion, which formed In droplets and caused self-catalyst NW growth. We found that introducing HCl gas during the NW growth efficiently removes the excess group-III materials. Straight, vertical InP NWs were successfully formed by controlling the HCl flow rate, while suppressing the formation of tilted NWs with any pitch. Moreover, we grew InP/InAsP quantum wells (QWs) radially on the sidewall of the InP nanowires. Prior to the radial growth, the Au on the NW tops was removed for suppressing axial growth [3]. Epitaxial growth of WZ-InAsP QWs on the sidewalls of the InP NWs was confirmed by transmission-electron microscopy. Furthermore, two-dimensional patterns of photoluminescence coming from the radial QWs were clearly observed in the 1.3-mu;m wavelength region at room temperature. The above-described results demonstrated that the proposed NW fabrication method is promising for creating individually addressable optical nano-devices. References: [1] H. Goto, K. Nosaki, K. Tomioka, S. Hara, K. Hiruma, J. Motohisa, and T. Fukui, Appl. Phys. Express 2, 035004 (2009). [2] G. L. Tuin, M. T. Borgström, J. Trägaring;rdh, M. Ek, L. R. Wallenberg, L. Samuelson, and M.-E. Pistol, Nano Res. 4, 159 (2011). [3] K. Kawaguchi, M. Heurlin, D. Lindgren, M. T. Borgström, M. Ek, and L. Samuelson, Appl. Phys. Lett. 99, 131915 (2011).