Symposium Organizers
Christos Dimitrakopoulos, University of Massachusetts Amherst
Alexander Tzalenchuk, National Physical Laboratory
Peter Sutter, Brookhaven National Laboratory
Ageeth A. Bol, Eindhoven University of Technology
Symposium Support
AIXTRON SE
Aldrich Materials Science
Bluestone Global Tech, Inc.
Graphene Laboratories Inc.
National Electrostatics Corp.
National Physical Laboratory
nPoint, Inc.
SPECS Surface Nano Analysis Inc.
WITec GmbH
RR5: Other 2D Materials Characterization I
Session Chairs
Tuesday PM, December 03, 2013
Sheraton, 2nd Floor, Constitution A
2:30 AM - RR5.01
Atomically Thin Transition-Metal Dichalcogenide Alloys: Preparation, Structure and Band Gap Engineering
Liming Xie 1
1Nantional Center for Nanoscience and Technology Beijing China
Show AbstractSince the discovery of graphene in 2004, atomically thin two-dimensional (2D) materials have attracted immense interest in recent years because of their unique structures, rich physical properties and broad potential applications. Towards applications in digital electronics and optoelectronics, sizable and tunable band gap is essential. Many efforts, such as asymmetric gating and chemical functionalization, have been made to explore 2D materials with desired band gaps, but very limited band gap range has been achieved.
Alloying materials with different band gaps, which is widely used in the band gap engineering of bulk semiconductors, could provide a general way to engineer band gap of 2D materials. This has been proposed in calculations recently. Here, we prepared the first family of atomically thin transition-metal dichalcogenide alloys (for example, Mo1-xWxS2 monolayers) by mechanical exfoliation of bulk crystals. High-resolution scanning transmission electron microscopy revealed random arrangement of the two alloying species. Photoluminescence characterization and density functional theory calculation showed that band gap of the 2D monolayer alloys could be tuned by controlling the composition.
2:45 AM - RR5.02
Comparison of Electrical Performance in Exfoliated and Chemically Synthesized MoS2
Matin Amani 1 Mariela Georgieva 1 2 A. Glen Birdwell 1 Terrance P O'Regan 1 Robert A Burke 1 Matthew L Chin 1 Sina Najmaei 3 Zheng Liu 3 Pulickel Ajayan 3 Jun Lou 3 Madan Dubey 1
1US Army Research Lab Adelphi USA2University of Maryland College Park USA3Rice University Houston USA
Show AbstractChemically synthesized single layer molybdenum disulfide (MoS2) has, similar to CVD grown graphene, provided a reasonable path to large scale integration of material that was initially only available through mechanical exfoliation. However, discrepancies exist when comparing the two different materials sources in the Raman spectra, photoluminescence (PL), and electrical properties and the source of these variations has not been identified and requires further investigation. In fact many of these variations are counter-intuitive; for example, CVD grown material shows a greater PL quantum yield, but a greater separation in the Raman E12g and A1g phonon modes. Here we directly compare the Raman spectra of few and single layer exfoliated materials to CVD grown films using identical device geometries and fabricate devices directly in parallel to rule out minor variations in processing. Using high resolution Raman and PL mapping the degradation in both materials is recorded as a function of processing. In addition we measured both the Hall and field effect mobility as a function of temperature.
3:00 AM - *RR5.03
Structure and Characteristics of Few-Layer Molybdenum Disulfide
Ellen S. Reifler 1 N. T. Nuhfer 1 E. Towe 1
1Carnegie Mellon University Pittsburgh USA
Show AbstractLayered transition-metal dichalcogenides such as molybdenum disulfide are indirect band gap materials in bulk but become direct semiconductors when pared down to a single layer. This paper will discuss the structural characteristics and properties of single and few-layer molybdenum disulfide. Specifically, we will discuss aberration-corrected high-resolution transmission electron microscopy (HRTEM) investigations of the structural properties of this material. Furthermore, we investigate electric surface potential characteristics of molybdenum disulfide using Kelvin probe force microscopy. The information obtained from the scanning probe microscopy will be augmented with data from Raman and photoluminescence spectroscopies on single and few-layer molybdenum disulfide samples.
High-resolution TEM images of monolayer, bilayer, and few-layer molybdenum disulfide obtained in this work confirm the hexagonal structure of the material. The direct images, along with their fast Fourier transforms, provide valuable information about the crystal structure and reciprocal space lattice of few-layer molybdenum disulfide. In anticipation of future applications of this material in electronic and photonic devices, we investigate the surface potential for single and few-layer molybdenum disulfide. Measurement of the surface potential with Kelvin probe microscopy provides information that can be used to estimate the contact potential, and the work function. This is a useful parameter to know for appropriate selection of metal contacts to two-dimensional molybdenum disulfide. Raman and photoluminescence spectroscopy measurements obtained in this work were used to determine the different numbers of layers used in the scanning probe experiments. The photoluminescence emission spectrum of monolayer molybdenum disulfide, for example, is characteristically different from that of few-layer samples. The peak emission and spectral width of a monolayer are consistent with values obtained from theoretical calculations. A correlation of the Raman spectra with the HRTEM images provides a unique understanding of the defect structure of the samples used in this investigation.
3:30 AM - RR5.04
Excitons in Single CdSe Nanosheets
Alexander Achtstein 1 Mikhail V. Artemyev 2 Ulrike K. Woggon 1
1TU Berlin Berlin Germany2Belarussian State University Minsk Belarus
Show AbstractThe unique optical and electrical properties of two-dimensional (2D) semiconductor systems, like graphene, have attracted increasing interest within the last years. Recently, a new type of cubic colloidal CdSe magic sized quantum-wells has been synthesized [1,2] showing a spectrally much narrower excitonic ensemble emission in comparison to conventional colloidal nanodots and wires. The thickness d of the formed 2D platelets is quantized to integer monolayers (ML), with d = n0.302 nm being an integer multiple of the half lattice parameter of ZB CdSe. The thickness quantization to integer monolayers in colloidal CdSe nanosheets leads to an only lateral size distribution, resulting in spectrally very narrow absorption and emission bands. Colloidal CdSe nanoplatelets of zincblende-type crystalline structure represent a novel material system of two-dimensional colloidal nanocrystals, i.e. colloidal quantum wells. In contrast to MBE grown quantum wells, those platelets show a much higher quantum yield and have thus a high potential for usage in photonic devices, like quantum well lasers or sensors.
We study optical properties of colloidal 2D nanoplatelets by single-particle spectroscopy, temperature-dependent emission and ultrafast recombination dynamics to understand the influence of quantization and lateral size variation on linear optical properties and exciton-phonon interaction. Single particle spectroscopy is applied to overcome inhomogeneous broadening in ensembles and reveals an excitonic substructure in the photoluminescence emission spectra (PL). CdSe platelets show strong spectral fluctuations in single particle emission, which can be attributed to the high sensitivity to changes in the dielectric environment seen in calculations [3].
Temperature-dependent measurements of the PL spectra show a small exciton-LO-phonon coupling strength in confined ZB systems and therefore a small phonon broadening in the single CdSe platelet emission. The low coupling of excitons to acoustic phonons and the therefore very small dephasing rate is a result of strong quantization in almost complete absence of structural defects.
Due to a large surface to volume ratio, the exciton energies show a strong impact of dielectric confinement [3]. High-exciton binding energies and the spectrally narrow hh-exciton emission of nanosheets observed in our temperature-dependent measurements result in fast excitonic lifetimes. CdSe platelets exhibit the Giant Oscillator Strength in 2D leading to an unusual increase in lifetime with temperature.
Since those nanoplatelets can be easily stacked and tend to roll up as they are large, we see a way to form new types of multiple quantum wells and IIminus;VI nanotubes, for example, for fluorescence markers.
[1] Quyang, J. et al., J. Phys. Chem. C 2008, 112, 13805.
[2] Ithurria, S. et al., J. Am. Chem. Soc. 2008, 130, 16504.
[3] Achtstein, A.W. et al., Nano Letters 2012, 12, 3151.
RR6: Graphene Photonics and Plasmonics
Session Chairs
Tuesday PM, December 03, 2013
Sheraton, 2nd Floor, Constitution A
4:15 AM - *RR6.01
Graphene Applications in Photonics and Plasmonics
Phaedon Avouris 1
1T.J. Watson Research Center Yorktown Heights USA
Show AbstractIn my talk I will first review the basic properties of the single particle and collective excitations of graphene [1]. I will discuss the mechanisms of photocurrent generation in graphene [2] and the design and characteristics of graphene-based photodetectors [3,4,5]. I will show that the coupling of light to localized graphene plasmons provides an excellent way of further enhancing the strength of graphene-light interaction [6,7]. Plasmon excitations in graphene micro- and nano-structures and their use in graphene devices in the mid-IR, far IR and THz ranges of the spectrum will be discussed. The interactions of graphene plasmons with intrinsic graphene and substrate phonons and the resulting damping mechanisms also will be analyzed. Finally, I will present a study of the behavior of graphene plasmons in a high magnetic field [8].
1. Ph. Avouris, F. Xia, MRS Bulletin 37, 1225 (2012).
2. M. Freitag, T. Low, F. Xia, Ph. Avouris, Nature Photonics 7, 53 (2013).
3. F. Xia et al., Nature Nano 4, 839 (2009).
4. T. Mueller, F. Xia, Ph. Avouris, Nature Photon. 4, 297 (2010).
5. M. Freitag, et al. Nature Commun. 4, (2013).
6. H. Yan et al. Nature Nano 7, 330 (2012).
7. H. Yan et al. Nature Photon. 7, 394 (2013).
8. H. Yan, et al., Nano Letters 12, 3766 (2012)
4:45 AM - RR6.02
Terahertz Radiation Emitted from Graphene-Coated InP (100) Surface
Iwao Kawayama 1 Yuki Sano 1 Mika Tabata 2 Khandoker Abu Salek 1 Hironaru Murakami 1 Minjie Wang 2 Robert Vajtai 2 Pulickel Ajayan 2 Junichiro Kono 2 Tonouchi Masayoshi 1
1Osaka University Suita Japan2Rice University Houston USA
Show AbstractManipulation of THz wave is the key technology to spread THz applications and considerable efforts has been devoted to efficiently modulating THz waves. Lei et al fabricated a centimeter-size single layer graphene device with a gate electrode, which can modulate the transmission of terahertz and infrared waves. By applying an external gate voltage, we were able to electrically tune the Fermi level of graphene, which in turn modulated the transmission of THz and IR waves (Nano Letters 12, 3711 (2012)). The results indicates that the shift of Fermi level by gate voltages decrease the transmission of THz waevs due to the increase in the intraband scattering. On the other hand, Kiwa et al demonstrated that the amplitude of THz emission from the surface from a Si thin film was sensitive to chemical potential and the phenomenon could be used as a high sensitive chemical sensor (Applied Physics Letters 96, 211114 (2010)). The result suggests that the control of THz wave can be realized by the modulation of surface potential of a semiconductor.
In this study, we formed a large-size graphene thin film on (100) surfaces of InP and observed THz radiation from the graphene-coated InP (100) surface under the illumination of femtosecond laser pulses. The pulsed THz radiation from the surface of InP excited by femtosecond laser pulses is usually explained by the photoexcited surge-current accelerated under the electric field formed in the surface depletion layer. We expected the change of amplitude of THz radiation from an InP (100) surface due to the large absorbance of THz radiation in graphene and/or the change of surface potential of InP by graphene coating. The interaction between graphene and InP surface have not been understood, however, the change of THz radiation is expected if any charge reconstruction are induced. Actually we found that waveforms and intensity of THz radiation from bare InP and graphene-coated InP were clearly different, furthermore, drastic changes of waveforms of THz emission with time from graphene-coated InP were observed. The origin of variation of THz intensity and the change of waveforms from graphen coated InP with time have not been fully understood yet, however, the surface potential of InP is probably modified due to graphene coating. Judging from the considerably slow change of waveforms, adsorption and desorption of gas molecules in the air seems to be possible scenario as the origin of the phenomena. We will present THz waveforms with graphene/InP and discuss the origin of the change of THz intensity.
5:00 AM - RR6.03
Room Temperature Ultra-Broadband and High Responsivity Photodetectors Based on Graphene Double-Layer Heterostructures
Chang-Hua Liu 1 You-Chia Chang 1 2 Ted Norris 1 2 Zhaohui Zhong 1
1University of Michigan Ann Arbor USA2University of Michigan Ann Arbor USA
Show AbstractGraphene is regarded as an ideal optoelectronic material for developing ultra-broadband photodetectors due to its capability of absorbing light from ultraviolet to far-infrared domains. But the inherently weak optical absorption as well as short photocarrier lifetime within graphene limit the responsivity of current graphene-based photodetectors to a few ~mA/W. Here we demonstrate a novel photodetector based on graphene double-layer heterostructures, which consist of a pair of graphene monolayers separated by a thin tunnel barrier. Under light illumination, photo-excited hot carriers in graphene tunnel vertically to the nearby graphene layer, and these pile-up photocarriers lead to a strong photogating effect on the graphene channel conductance. Based on this device architecture and detection scheme, our prototype devices could exhibit wide spectrum photodetection ranging from visible to the mid-infrared regimes, and importantly, the measured photoresponsivity in the mid-infrared regime could be higher than 1 A/W at room temperature. These results not only address key challenges for broadband photodetection, but also open the door for graphene based hot carrier optoelectronic applications.
5:15 AM - *RR6.04
Graphene Nano-Photonics and Carrier Dynamics
Frank Koppens 1
1ICFO - The Institute of Photonic Sciences Castelldefels Spain
Show AbstractGraphene, a two-dimensional sheet of carbon atoms, has recently emerged as a novel material with unique electrical and optical properties, with great potential for novel opto-electronic applications, such as ultrafast photo-detection, optical switches, strong light-matter interactons etc. In this talk I will review the new and strongly emerging field of graphene nano-photonics. In particular, I will show how to exploit graphene as a host for guiding, switching and manipulating light and electrons at the nanoscale [1,2]. This is achieved by exploiting surface plasmons: surface waves coupled to the charge carrier excitations of the conducting sheet. Due to the unique characteristics of graphene, light can be squeezed into extremely small volumes and thus facilitate strongly enhanced light-matter interactions.
One particular example of these enhanced light-matter interactions is the non-radiative energy transfer from light emitters to graphene. We experimentally and theoretically quantified this energy transfer process and find that the emitter decay rate follows a universal distance-scaling relation and is enhanced by a factor 90 [3]. Additionally, I will discuss novel types of hybrid graphene photodetectors [4] and new excitating results on carrier dynamics and carrier multiplication in graphene. By studying the ultrafast energy relaxation of photo-excited carriers after excitation with light of varying photon energy, we find that electron-electron scattering (and thus carrier multiplication) dominates the energy relaxation cascade rather than electron-phonon interaction [5]. This singles out graphene as a promising material for highly efficient broadband extraction of light energy into electronic degrees of freedom, enabling a new class of high-efficiency optoelectronic and photovoltaic applications.
References
[1] J. Chen, M. Badioli, P. Alonso-González, S Thongrattanasiri, F Huth, J Osmond, M. Spasenovic, A. Centeno, A. Pesquera, P. Godignon, A. Zurutuza, N. Camara, J. Garcia de Abajo, R. Hillenbrand, F. Koppens, “Optical nano- imaging of gate-tuneable graphene plasmons”, Nature (2012)
[2] F. Koppens, D. Chang, J. García de Abajo, “Graphene Plasmonics: A Platform for Strong Light-Matter Interactions”, Nano Letters 11, 3370 (2011)
[3] L. Gaudreau, K. J. Tielrooij, G. E. D. K. Prawiroatmodjo, J. Osmond, F. J. García de Abajo, and F. H. L. Koppens, “Universal Distance-Scaling of Non-radiative Energy Transfer to Graphene”, Nano Letters 2013
[4] G. Konstantatos, M. Badioli, L. Gaudreau, J. Osmond, M. Bernechea, P. Garcia de Arquer, F. Gatti, F. Koppens, “Hybrid graphene-quantum dot phototransistors with ultrahigh gain”, Nature Nanotechnology (2012)
[5] Photo-excitation Cascade and Multiple Carrier Generation in Graphene. K.J. Tielrooij, J.C.W. Song, S.A. Jensen, A. Centeno, A. Pesquera, A. Zurutuza Elorza, M. Bonn, L.S. Levitov, and F.H.L. Koppens. Nature Physics (2012)
RR7: Poster Session I
Session Chairs
Christos Dimitrakopoulos
Alexander Tzalenchuk
Peter Sutter
Ageeth A. Bol
Tuesday PM, December 03, 2013
Hynes, Level 1, Hall B
9:00 AM - RR15.127
Controllable Modulation of Electronic Properties of Graphene and Silicene by Interface Engineering and Pressure
Kun Chen 1 Jianbin Xu 1 Xi Wan 1
1The Chinese University of Hong Kong Hong Kong Hong Kong
Show AbstractUsing first-principles calculations, we show that the band gap and electron effective mass (EEM) of D-X/G/H-D, Si-X/S/H-Si and D-X/S/H-D can be modulated effectively by tuning the pressure (interlayer spacing) and stacking arrangement. The electron effective mass (EEM) is proportional to the band gap. The band gap of confined silicene is more sensitive to pressure than that of confined graphene. Moreover, heterogeneous interface structure would benefit to effectively regulate the band gap and carrier effective masses of confined graphene and silicene. Using confinement technique and pressure, integrity of the honeycomb structure of graphene and silicene will preserved so that the small effective masses and high mobility of graphene and silicene will remain during compression. The tunable band gap and high carrier mobility of the sandwich structures are promising for building high-performance nanodevices.
9:00 AM - RR7.01
Combustion-Assisted CVD Synthesis of Monolayer Graphene Films in Open-Atmosphere Environments
Hua Hong 1 Stephen D Tse 1
1Rutgers Univ Piscataway USA
Show AbstractThe low solubility of carbon in copper makes it possible to synthesize large area monolayer graphene (MLG) films with self-limited growth process by Low-pressure Chemical Vapor Deposition (LPCVD). However, some recent studies have indicated when growth pressure is raised to atmospheric pressure, few-layer graphene (FLG) films growth is observed, which mean the self-limited growth mechanism does not work under all conditions. By using the Combustion-assisted CVD (CACVD) method, we have succeeded in growing Monolayer graphene films on copper substrates at in open-atmosphere environments. Standard Raman spectroscopy of the films shows major growths of MLG films with small areas composed of bi-layer and few-layer growth. Scanning electron microscopy (SEM) studies the morphology of the synthesized graphene films. By utilizing a redesigned multi-element inverse-diffusion-flame burner, the post-flame region is characterized by radially uniform temperatures and species, allowing systematically study of the effects of growth temperature, species, and deposition time during the growth of graphene films. The flow field velocities, temperature, and species profiles are simulated with detailed chemistry mechanism and transport. Our CACVD synthesis method is well suited for scaling, affording large-area surface coverage without constraints of chamber size.
9:00 AM - RR7.02
Graphene-Zno Thin Film Ultraviolet Photodetector with High Photoconductive Gain
Fangze Liu 1 Xiaomei Guo 2 Huihong Song 2 Kewen Kevin Li 2 Yinying Kevin Zou 2 Hua Jiang 2 Swastik Kar 1
1Northeastern University BOSTON USA2Boston Applied Technologies Inc Woburn USA
Show AbstractGraphene-based optoelectronic devices have attracted enormous attention. While all-graphene photodetectors always suffer limitations for weak signal detection due to the low intrinsic optical absorption of graphene, combining graphene with other light absorbing materials can effectively resolve this issue. For examples, graphene-silicon heterojunctions for ultrasensitive photodetection in visible and near-infrared regions and graphene-semiconducting transition metal dichalcogenides stacks with an external quantum efficiency of above 30% have been demonstrated recently. Here, we report graphene-ZnO thin film based ultraviolet photodetectors with high photoconductive gain. The Schottky junction formed at graphene-ZnO interface enables photon-excited carriers to be trapped in graphene for a long time (seconds). Hence, taking advantage of the high mobility of graphene and the direct wide bandgap of ZnO, a photoconductive gain exceed 100 has been obtained at 300nm wavelength. The device performance can be further improved by controlling the device geometry or applying a gate voltage. We will present the detailed device fabrication on different substrates and characterizations including power, spectral and temporal response.
9:00 AM - RR7.03
Germanene Formation by Electrochemical Atomic Layer Deposition (e-ALD)
Maria Ledina 1 Xuehai Liang 1 Jakub Drnec 2 Roberto Felici 2 Francesco Carla 2 Andrea Resta 3 Brian Perdue 1 Youn-Guem Kim 1 Chu Tsang 1 Omar Rivera 1 Richard Dluhy 1 John L. Stickney 1
1The University of Georgia Athens USA2Laboratory ESRF Grenoble France3CINaM Universite Marseille France
Show AbstractThe Ge analog of graphene has been formed using the electrochemical analog of atomic layer deposition (E ALD) on Au and Cu substrates. This project was started several years ago, with an investigation into the electrodeposition of Ge from aqueous solutions. The work resulted in the deposition of at most 2 layers of a Ge species on a Au substrate. 1 The deposits were from a solution made with GeO2, at pH 9. The reactions were found to be sensitive functions of pH and potential. In-situ STM images of the deposition process on an Au(111) single crystal showed well-ordered deposits, with several phase transitions as a function of potential. Structures identified at the time were a (radic;3Xradic;3)R 30o-1/3 ML of a Ge species, and a (3X3)-4/9ths ML of a Ge species, as the potential was shifted negative. At more negative potentials, atomic resolution was lost, but the deposit appeared as large (300 nm) atomically flat terraces. At still more negative potentials the flat terraces began to break up. These results raised many questions, while answering few. What was the nature of the deposited species? It was thought that the ordered structures were composed of an intermediate oxidation state of some Ge oxide. Other questions were why did the deposition stop after about 2 ML, and what caused the flat terraces to be formed? Subsequent work growing the phase change material GeSbTe with a variety of stoichiometries using E-ALD suggested that pure Ge might be formed as an extreme stoichiometry.2 This was investigated, and an E-ALD cycle was developed called “bait and switch”,3 where an atomic layer of Te was first deposited, followed by one of Ge. This was followed by reduction of the Te from the deposit, to leave only the Ge. Deposits were formed by repeating this cycle. Raman of the deposit, measured using a large beam, suggested that amorphous Ge was formed. The deposit was not homogeneous, however, and grew in patches. Recently, a micro Raman was used to study the surface of some of these deposits and showed the presence of a sharp peak on the Ge patches. The peak was near that for bulk Ge, but about 5 or 6 cm-1 lower than that for the Ge(100) wafer used as a standard. Theoretical work by Scalise et al. suggested just such a shift for germanene.4 1. Liang, X, et al.. Aqueous Electrodeposition of Ge Monolayers. Langmuir 2010, 26 (4), 2877-2884. 2. Liang, X., et al., Controlled Electrochemical Formation of GexSbyTez using Atomic Layer Deposition (ALD). Chem. Mater. 2011, 23 (7), 1742-1752. 3. Liang, X., et al., Growth of Ge Nanofilms Using Electrochemical Atomic Layer Deposition, with a "Bait and Switch" Surface-Limited Reaction. J. Am. Chem. Soc. 2011, 133 (21), 8199-8204. 4. Scalise, E., et al., Vibrational properties of silicene and germanene. Nano Res 2013, 6 (1), 19-28.
9:00 AM - RR7.05
Comparison of Optoelectronics Properties of Different CVD Graphene Layers
Jean-Paul Mazellier 1 Helene Spisser 1 Costel S. Cojocaru 1 Matt Cole 2 Kenneth Teo 3 Alba Centeno 4 Odile Bezencenet 1 Marie-Blandine Martin 5 Pierre Seneor 5 Pierre Legagneux 1
1Nanocarb Laboratory, Thales - Ecole Polytechnique Palaiseau France2University of Cambridge, Dept. of Engineering Cambridge United Kingdom3Aixtron Cambridge United Kingdom4Graphenea S.A. San Sebastian Spain5Unitamp;#233; Mixte de Physique CNRS/Thales Palaiseau France
Show AbstractThe unique properties of single layer graphene (SLG) (high electron mobility, absorption from the far infrared to the ultraviolet) make this material perfectly suited for optoelectronics applications [1]. Numerous methods are emerging in order to produce SLG, each of them exhibiting different processing advantages and material quality. We propose here to compare the optoelectronics properties of graphene films obtained by the three following methods:
* CVD graphene grown on Cu then transferred (wet process) on Si/SiO2 substrate.
* CVD graphene direct growth on Co (Si/SiO2/Co) [2]. In this case graphene is grown at the SiO2/Co interface, thus no transfer is required.
We processed back-gated graphene field effect transistor (G-FET). For Each sample, the graphene cristalline quality is measured during the various processing steps by Raman spectroscopy.
In order to measure electronics and optoelectronics properties of our different G-FET devices, we developed a dedicated experimental setup. A probe station is integrated in a chamber which allows controlling the G-FET environment: our measurements can be performed under vacuum or nitrogen. As water absorption on graphene can largely influence the electrical measurements, in situ annealing can be performed in our prober in order to clean graphene from most environmental moisture before electrical measurements. Furthermore, in order to test optical response of our samples, a special optical column is coupled to the environment controlled prober: it is designed to provide 6cm working distance (compared to few millimeters obtained with classical microscope objectives). A 5 micron diameter laser beam can be focused on the device. A microscope column being cointegrated with the laser focusing system, the spot can be precisely controlled on the device. As this optical system is fixed on a XYZ motorized stage, the laser beam can be scanned on the device during the electrical measurements. The (opto) electronics properties (carrier mobility and optical responsivity) of the different graphene layers will be compared.
[1] M. Freitag et al., “Photoconductivity of biased graphene”, Nature photonics, vol. 7, pp. 53, 2013
[2] C.S. Lee et al., “Synthesis of conducting transparent few-layer graphene directly on glass at 450°C”, Nanotechnology, vol. 23, issue 26, 2012
9:00 AM - RR7.07
Optimization of Graphene-Based MOS Devices: Unit Process and Integration Studies for Optimized CVD Synthesis of Graphene, Associated Metal Contacts and Gate Dielectric Stacks
Omar Alnemer 1 Helmy Hafidh Aziz Ally 1 Aamna Alshehhi 1 Faisal Al Marzooqi 2 Irfan Saadat 1 Amal Al Ghaferi 2 Adel B. Gougam 2
1Masdar Institute of Science and Technology Abu Dhabi United Arab Emirates2Masdar Institute of Science and Technology Abu Dhabi United Arab Emirates
Show AbstractGraphene as a replacement of doped Si channel in Field-Effect Transistor (FET) has attracted much attention recently. Key deliverables of this research are to optimize the gate stack and ohmic metal contacts in graphene-based FETs to exploit graphenes&’ electrical properties for our targeted in-house synthesized and optimized CVD graphene films, grown on various Cu foils, Cu and Ni films on Si substrates, and mechanically exfoliated onto SiO2 (285nm)/Si (500mu;m) substrate, as described in [1]. The target for this research is to assess various ILD stacks and metals for ohmic contacts on the optimized graphene. The first batch of experiments were done using 2nm oxidized aluminum nucleation layer for the 10nm ALD-deposited Al2O3 and HfO2 on monolayer graphene samples, with a top metal electrode completing our MOSCAP stack (~10mm×10mm) [2]. Using Al2O3 as dielectric, the capacitance varies from 5.6nF at 10kHz to 0.42nF at 100kHz and using HfO2, the capacitance varies from 5.3nF at 10kHz to 1.76nF at 100KHz. Graphene/Al2O3 devices have higher capacitance than graphene/HfO2 at low frequencies (f<20kHz), while graphene/HfO2 devices have higher capacitance for fge;20kHz. Both devices indicate a sharp fall at f~10kHz, suggesting that charging and discharging time for the interface trap on graphene/gate oxide is ge; 100mu;s, consistent with literature [3]. Fabricated Kelvin and Van der Paux structures yielded total resistance ranging from 2kOmega; to 10 MOmega; in ambient conditions using evaporated Cr/Al contacts (5nm/200nm) and between 150Omega; to 1kOmega; using Cu/Al (5nm/150nm). Substrate wettability too, for identical contact metals has been shown to affect Dirac point shift and will be investigated [4]. The ongoing experiments, which we plan to report at the MRS meeting are: targeted MOSCAP dimensions (0.1mm×0.1mm) using additional oxides such as Si3N4, SiO2 and ZnO and an RF graphene device. Conductive AFM, TEM, Raman and EDS characterization are planned in this optimization process from graphene exfoliation up to device fabrication. This will provide us the optimum channel graphene films, metal contacts and associated gate oxide for possible nano-FET applications.
[1] O. Alnemer et al., “Electrical characteristics of graphene wrinkles extracted by conductive Atomic Force Microscopy and electrical measurements on kelvin structures,” in 2013 8th Int. Conf. Design & Technology of Integrated Systems in Nanoscale Era (DTIS).,Abu Dhabi, UAE, pp. 182-183.
[2] S. Kim et al., “Realization of a high mobility dual-gated graphene field-effect transistor with Al2O3 dielectric,” Appl. Phys. Lett., vol. 94, pp. 062107-062107-3, 2009.
[3] G. Kalon et al., “The role of charge traps in inducing hysteresis: Capacitance-voltage measurements on top gated bilayer graphene,” Appl. Phys. Lett., vol. 99, pp. 083109, 2011.
[4] K.Nagashio et al., “Is Graphene Contacting with Metal Still Graphene?,” IEDM ,IEEE Conference, 2011.
9:00 AM - RR7.08
Graphene Metamaterials with Organic Molecular Patterning
Jierong Cheng 1 Weili Wang 2 Hossein Mosallaei 1 Efthimios Kaxiras 2
1Northeastern University Boston USA2Harvard University Cambridge USA
Show AbstractRecently graphene has been shown to support deep sub-wavelength surface plasmons with desirable properties of low loss and strong field localization. The tunability of the optical conductivity via gate biasing or molecular doping enables great flexibility to engineer the propagation of SPs on the graphene surface. In this study, graphene based plasmonic metamaterials are proposed with engineered gradient effective refractive index via the patterning of two types of organic molecules on top, which could be designed as a compact beam shifter, plasmonic lens or other more sophisticated functions.
Organic molecules could dope graphene through charge transfer and modify its joint density of states. They also contribute to additional states that can make new optical transitions possible. Both of these interactions would affect the optical properties of functionalized graphene. The interactions of graphene with two types of organic molecules F4-TCNQ and TCNQ+TTF are analyzed, and they are chosen to dope graphene into different levels. The dielectric functions for the graphene sheet are calculated within the density functional theory. The effective refractive indices for SPs obtained from dispersion relations are manipulated according to the types of organic molecules adsorbed on graphene.
As the metamaterial unit cell, a graphene patch is doped partly by F4-TCNQ and partly by TCNQ+TTF in space. When the patch size is much smaller than the plasmonic wavelength, it can be viewed as an equivalently homogeneous continuum based on effective medium theory. The effective refractive index for the unit cell can be tuned by the weighted average of two types of molecules and confirmed by the results from FDTD simulations. The effective refractive indices in graphene unit cell are 1 to 2 orders larger than that in metal-based metamaterials, indicating the capability of compact assembling. As a proof of concept, an ultra-small plasmonic lens working at low terahertz frequencies is designed with the dimension of 0.17×0.15 in terms of free space wavelength. It is observed that the performance keeps the same in a broadband frequency range. The results validate the implementation of plasmonic metamaterial based on functionalized graphene with molecular patterns.
9:00 AM - RR7.09
Low Energy Hydrogen Plasma for Selective Reduction and Etching of Graphene Oxide Films
Prajwal Bikram Thapa 1 Venkateswara Bommisetty 1
1South Dakota State University Brookings USA
Show AbstractHydrogen plasma has been identified as a promising tool to reduce graphene oxide (GO) rapidly and efficiently. This article presents low-energy hydrogen plasma as effective tool to reduce the GO films and also to selectively etch the film without introducing additional defects. Several GO films of varying thickness were exposed to low-energy hydrogen plasma in planer geometry and using stainless steel grids. The thickness of the GO films and plasma exposure conditions (power, duration, pressure) played an important role in defect creation, sheet resistance and optical properties. Nanoscale current maps measured using current sensing atomic force microscopy (CS-AFM) indicated that increased film thickness led to an increase in the percolation path for electrical leading to improved conductivity (similar to bulk properties). The GO films exposed to low energy hydrogen plasma resulted in a decrease in d-band intensity indicating defect quenching. However, a strong interplay between GO film thickness and plasma exposure duration has been observed with long plasma exposure durations leading to increased defect density in thinner films. While plasma exposure did not effect the surface topography of the films, however the electrical conductivity at nanoscale and sheet resistance were significantly affected. Samples exposed hydrogen plasma using stainless steel grids indicated the plasma conditions can be tuned to selectively etch and reduce the GO films. Large area Raman maps showed that the etch and reduction patterns can be controlled using plasma conditions.
9:00 AM - RR7.10
Scalable Manipulation of Graphene Oxide Structures
Priyank Vijaya Kumar 1 Neelkanth M Bardhan 1 3 Angela M Belcher 1 2 3 Jeffrey C Grossman 1
1Massachusetts Institute of Technology Cambridge USA2Massachusetts Institute of Technology Cambridge USA3Massachusetts Institute of Technology Cambridge USA
Show AbstractChemical functionalization of graphene is promising for a variety of next-generation technologies. While graphene oxide (GO) is a versatile material in this direction, its use is limited by the production of metastable, chemically inhomogeneous and spatially disordered GO structures under current synthetic protocols, resulting in poor optoelectronic properties. We present a mild thermal procedure, involving no chemical treatments, to manipulate as-synthesized GO on a large scale for enhancing sheet properties, while preserving its oxygen content. Using experiments supported by atomistic calculations, we demonstrate that GO structures undergo phase transformation into prominent oxidized and graphitic domains by temperature-driven oxygen diffusion. Consequently, as-synthesized GO that mainly absorbs in the ultraviolet becomes strongly absorbing in the visible, and the electronic conductivity increases significantly. Finally, we propose alternative ways to control phase separation employing additional external stimuli such as nitrogen-doping, thus creating novel opportunities for applications of GO.
9:00 AM - RR7.11
Two-Dimensional Atomic Crystal Structure Imaging by Second Harmonic Generation
Camilla Karla Oliveira 1 Egleidson F. A. Gomes 1 Thonimar V. Alencar 1 Leandro M Malard 1 Ana M de Paula 1 Bernardo R A Neves 1
1Universidade Federal de Minas Gerais Belo Horizonte Brazil
Show AbstractRecently, the use of second harmonic generation (SHG) images to study the crystal structure of two-dimensional MoS2 crystals has been reported [1]. The polarization dependence of the second harmonic generation is known to be a powerful tool to probe the crystallographic properties of crystals. It can probe structural phase transformations and surface and interface orientations [2]. Here, we explore the optical nonlinear properties of two-dimensional hexagonal boron nitride (h-BN) layers to study the effects of crystalline structural defects. We studied few-layer h-BN samples that have received different annealing treatments. After annealing, these samples present surface wrinkles at well-defined directions, as revealed by AFM imaging. The observed wrinkles have thicknesses of several nanometers and show a surprising regularity, maintaining angles of 120°, predominantly, and producing intricate patterns. We have measured SHG images using a confocal microscope modified for two photon excitation with a femtosecond Ti-sapphire laser. The intensity of the back reflected SHG signal is measured as a function of the crystal orientation to the laser polarization at normal incidence. The SHG polarization dependence measurements show that the wrinkles are along specific crystallographic directions.
[1] L. M. Malard, T. V. Alencar, A. P. M. Barboza, K. F. Mak and A. M.de Paula, Observation of intense second harmonic generation from MoS2 atomic crystals. hys. Rev. B 87, 201401, May (2013).
[2] Y. R. Shen, The Principles of Nonlinear Optics (Wiley Interscience, New York, 2003).
9:00 AM - RR7.12
Carbon Nanowalls Grown by Microwave Plasma Enhanced Chemical Vapor Deposition During the Carbonization of Polyacrylonitrile Fibers
Jiangling Li 1 Shi Su 1 Andrew M Abbot 1 Haitao Ye 1
1Aston University Birmingham United Kingdom
Show AbstractWe used microwave plasma enhanced chemical vapor deposition (MPECVD) to carbonize an electrospun polyacrylonitrile (PAN) precursor to form carbon fibers. Scanning electron microscopy, Raman spectroscopy, and Fourier transform infrared spectroscopy were used to characterize the fibers at different evolution stages. It was found that MPECVD-carbonized PAN fibers do not exhibit any significant change in the fiber diameter, whilst conventionally carbonized PAN fibers show a 33% reduction in the fiber diameter. An additional coating of carbon nanowalls (CNWs) was formed on the surface of the carbonized PAN fibers during the MPECVD process without the assistance of any metallic catalysts. The result presented here may have a potential to develop a novel, economical, and straightforward approach towards the mass production of carbon fibrous materials containing CNWs.
9:00 AM - RR7.13
Nanodiamond Converted Hollow Graphene Spheres as Super-Capacity Electrodes
Jiangling Li 1 Andrew M Abbot 1 Haitao Ye 1
1Aston University Birmingham United Kingdom
Show AbstractThis report describes the conversion of nanodiamond to hollow graphene spheres that are bound by Polyacrylonitrile (PAN) derived carbon. Nanodiamond grains are dispersed in PAN /dimethyl formamide solution and pressed into pellets, which are later dried and pre-oxidised in air for PAN to get a stiffened network structure. During subsequent annealing, pre-oxidised PAN is converted to carbon with a disordered structure while nanodiamonds to hollow graphene spheres. After a careful modification, these graphene spheres all have some opens in them. The opens provide passages for electrons to enter and leave these spheres, which is vital for this material to excel as an electrode material. As such a unique structure has a huge surface area this material has been investigated as super-capacity electrodes. The as-prepared material demonstrates a stable and outstanding electrochemical performance.
9:00 AM - RR7.14
Stress Transfer between Large-Area Monolayer Graphene Multilayers
Robert Young 1 Zheling Li 1 Ian Kinloch 1 Alexander Marsden 2 Neil Wilson 2
1University of Manchester Manchester United Kingdom2University of Warwick Coventry United Kingdom
Show AbstractLarge-area graphene monolayers have been prepared upon copper substrates using CVD and have then been transferred to poly(methyl methacrylate) (PMMA) beams. Multilayer graphene structure have been prepared by stacking successive monolayers prepared in this way. Isotope labelling has been used to identify the different layers by using 13C and 13C methane and their mixtures in the CVD feedstocks.
Raman spectroscopy has been used to characterize the structures produced from the positions of the Raman bands in the spectra. Significant difference in the positions of the Raman G and 2D bands are obtained for the graphene produced from the different feed stocks. For example a shift of more than 100 cm-1 is found between the position of the 2D band for pure 12C and 13 C graphene. Stacks with different orderings of the isotope-labelled materials have been produced.
Deformation of the graphene layers has been followed from stress-induced shifts of the Raman 2D bands when the PMMA beams were deformed in bending and it has been possible to follow the relative deformation of the different graphene layers. This has allowed the level of stress transfer to be determined between each layer. For example, it has been found that stress transfer in a two-layer structure of graphene monolayers is improved when a PMMA top coat is applied.
The possibility of using this technique to characterize mixed layers of, for example, graphene and MoS2 and to determine the integrity of multilayer graphene devices will be discussed.
9:00 AM - RR7.15
Pulsed Laser Deposition of Carbon Nanomaterials
Billy Mozet 1 Stephen D Tse 1
1Rutgers Univ Piscataway USA
Show AbstractOver the past several decades, carbon nanomaterials have been crucial for the development of novel nanostructures. In particular, a single atomic layer of graphite, known as graphene, has gained significant research interest since its discovery in 2004 due to its exceptional electronic and mechanical properties and widespread applications. Nanostructured graphite and few-layer graphene (FLG) have been grown on copper and silicon substrates via pulsed laser deposition (PLD). PLD utilizes a high power laser to vaporize a solid target and deposit the material as a thin film onto a substrate. This growth mechanism is highly dependent on several experimental parameters, such as substrate temperature and laser power. How these parameters affect the resulting film is examined in detail. We found that
the nanostructured order of the deposited film increases as the temperature of the substrate increases, evolving from an amorphous carbon film to nanosized graphene structures. We also explore how the morphology of the target and substrate materials affects the film, as well as how the wavelength and flux of the incident laser beam affects the growth mechanism of the ablated material. The deposited carbon films are characterized using micro-Raman spectroscopy and Scanning Electron Microscopy.
9:00 AM - RR7.16
Ab Initio Simulations of Dynamical Criteria for Hydrogenation of Graphene and Silicene
Amir A. Farajian 1
1Wright State University Dayton USA
Show AbstractHydrogenation of graphene and silicene (silicon-based equivalent of graphene) can be used to modify and control the properties of these nanosheets. It has been shown, e.g., that electronic properties of hydrogenated graphene and silicene differ from those of the pristine materials and depend on the hydrogen coverage ratios and patterns. In this work, we employ ab initio methods to investigate the dynamical criteria for graphene and silicene hydrogenations. Using quantum molecular dynamics with proper constraints, we determine the free energy barriers and reaction rates beyond transition state theory. Details of the hydrogenation energetics at different temperatures and the resultant conditions on hydrogenation processes will be discussed.
9:00 AM - RR7.18
Morphological Transformation of Hematite Nanostructures by Sandblasting
Lu Yuan 1 Rongsheng Cai 2 Joon I. Jang 3 Wenhui Zhu 1 Chao Wang 2 Yiqian Wang 2 Guangwen Zhou 1
1SUNY-Binghamton Binghamton USA2Qingdao University Qingdao China3SUNY-Binghamton Binghamton USA
Show AbstractTailoring the shape of nanostructures is one of the key challenges in the growth control but is essential for realizing structure-induced properties. The growth of oxide nanostructures by thermal oxidation of metals has recently received intensive interest due to its technical simplicity and large-scale growth capabilities. However, a significant challenge is the poor control over the growth morphologies of oxide nanostructures during the oxidation process, largely due to convolution of different processes involved in oxidation, including multi-phase oxide growth, coupling between growth stress and diffusion, and microstructure evolution. Here we describe a simple approach that uses sandblasting to modify the surface roughness of iron substrates thereby achieving the control over the growth morphologies of hematite nanostructures varying from the growth of α-Fe2O3 nanowires to nanoblades by direct thermal oxidation of iron. We find that an initial increase in the surface roughness can promote α-Fe2O3 nanowire growth while further increase in surface roughness leads to the transformation from the growth of α-Fe2O3 nanowires to α-Fe2O3 nanoblades. We show that such a morphological transformation is related to the geometry and shape of the locations where the oxide nanostructures nucleate and grow, which can be modified by increasing the surface roughness of the Fe substrate via sandblasting. To examine the effect of the morphology-property correlations, we perform both PL and NLO experiments on several hematite nanoblade and nanowire samples at room temperature. We show that these tunable growth morphologies offer unique opportunities to study the morphology-property correlations by examining the optical properties of hematite nanowires and nanoblades.
9:00 AM - RR7.19
Characteristic of Graphene Field Effect Transistor with Ferroelectric Gate Dielectric
Somyeong Shin 1 Hyewon Du 1 Teakwang Kim 1 Sunae Seo 1
1Sejong Univ. Seoul Republic of Korea
Show AbstractFerroelectric materials with high dielectric constant have been frequently used as the gate dielectric in metal-ferroelectric-semiconductor transistor based nonvolatile memory. Recently it has been reported that ferroelectric material as the gate dielectric in graphene field-effect transistors has shown nonvolatile functionality. However, a comprehensive understanding on the mechanism of hysteresis induced by ferroelectric material and an effective way to control hysteresis are still absent.
In this study, we fabricated graphene ferroelectric field-effect transistor (GFFET) with PtZrxTi1-xO3(PZT) as gate dielectric which has remnant polarization effect and high dielectric constant. A current-voltage hysteresis in GFFET was observed in the opposite direction compared to the hysteresis due to the ferroelectric material itself. According to the previous study, the opposite hysteresis was due to the charge trapping in interface state and polarization screening from absorbed molecule during the fabrication process on surface of the PZT. In this study, field-effect-mobility of GFFET was lower than that in graphene FET with SiO2 gate oxide. The field-effect-mobility was correlated with the aforementioned interface state effect and graphene quantum capacitance which reduced voltage-drop to induce charge carrier density at gate oxide. We demonstrated that graphene quantum capacitance was dominant in PZT with high-k dielectric, compared to PZT with SiO2.
9:00 AM - RR7.20
Graphene-Like Membranes: From Impermeable to Selective Sieves
Gustavo Brunetto 1 Douglas S. Galvao 1
1State University of Campinas Campinas-SP Brazil
Show AbstractMembranes are very important structures for a large variety of scientific and technological applications. They can be used as selective barriers and play an important role in processes such as; cellular compartmentalization, industrial-scale chemical, gas and water purifications, etc. [1-3].
Recently, it was proposed that graphene sheets could act as impermeable atomic membranes to standard gases, such as helium, argon, and nitrogen [1]. For some other applications, a higher level of porosity is needed. In part due to this, there is a renewed interest in investigating graphene-like porous structures.
One of these structures is the so-called biphenylene carbon (BPC) [4], which is a porous two-dimensional (planar) allotrope carbon form. BPC has a quite interesting topology with its pores resembling typical sieve cavities and/or some kind of zeolites.
In this work we have used classical molecular dynamics simulations to study the dynamics of gas permeation through these membranes and possible selectivity effects to specific compounds. For comparison purposes we have considered perfect few-layer graphene membranes
The simulations were carried out mimicking the experimental conditions [1], where a nanochamber of silicon oxide if filled with different gases and sealed by the membranes (graphene and BPC). By increasing the gas temperature and/or pressure inside/outside the chamber we analyzed the processes of whether there are gas leakages between the membranes and the substrates and the dynamics of these leakages.
For the graphene membrane we did not observe any gas leakage through the membrane/substrate interface until the critical limit is reached and then a sudden membrane detachment occurs. These results are consistent with the available experimental data [1-2]. For the case when the BPC is used as a selective membrane we observe different leak rates for different gases (helium, argon). The leakage dynamics is also much more complex than in the case of graphene membranes. We have also investigated the necessary conditions to use these membranes to separate gas mixtures.
[1] J. S. Bunch et al., Nano Lett. 8, 2458 (2008).
[2] S. P. Koenig, L. Wang, J. Pellegrino, and J. S. Bunch, arXiv cond-mat.mes-hall, (2012).
[3] D.-E. Jiang, V. R. Cooper, and S. Dai, Nano Lett. 9, 4019 (2009).
[4] G. Brunetto, P. A. S. Autreto, L. D. Machado, B. I. Santos, R. Santos, and D. S. Galvao, J. Phys. Chem. C, v116, 12810 (2012).
9:00 AM - RR7.21
Raman Studies, Thermal Conductivity and Optical Properties of Large Scale Vapor-Phase Grown Few Layer MoS2
Satyaprakash Sahoo 1 Anand P.S. Gaur 1 Majid Ahmadi 1 Sandwip K. Dey 2 Maxime J-F Guinel 1 Ram S. Katiyar 1
1University of Puerto Rico San Juan USA2Arizona State University Tempe USA
Show AbstractA few layers of MoS2 (FLMS) were prepared on different insulating surfaces by a high temperature vapor-phase method. High resolution transmission electron microscopy (HRTEM) and Raman spectroscopy showed the FLMS materials to be of high quality. It consisted of about 10 MoS2 monolayers, corresponding to a thickness of about 6 nm. Well defined and narrow Raman peaks of the in-plane E2g and out of plane A1g modes were observed. In addition, the E22g mode (shear mode) was observed in the low frequency region of the spectra and arises due to the relative motion between two adjacent MoS2 monolayers . The peak positions of both E2g and A1g modes decrease linearly when the temperature was increased. The first order temperature coefficients for E12g and A1g modes were calculated to be -1.32×10-2 and -1.23×10-2 cm-1/K, respectively. Using Raman spectroscopy, the thermal conductivity of suspended FLMS at room temperature was estimated to be about 52 W/mK. Moreover, the transparency (~80%) of the films were higher for higher wavelengths but decreased for shorter wavelengths. Strong excitonic peaks associated with spin-orbital coupling were observed both in the absorption and transmission spectra.
9:00 AM - RR7.23
Graphene Coated Holey Metal Films: Tunable Molecular Sensing by Surface Plasmon Resonance
Alexandru Vlad 1 Nicolas Reckinger 2 Sorin Melinte 1 Jean-Franamp;#231;ois Colomer 2 Michael Sarrazin 2
1Universitamp;#233; catholique de Louvain Louvain la Neuve Belgium2Universite of Namur Namur Belgium
Show AbstractPlasmonic devices offer valuable platforms for a wide range of emerging molecular detection schemes. Indeed, surface plasmon (SP) resonances are characterized by both a strong electric field and a great sensitivity to environmental conditions. As a consequence, adsorbed species can be detected through the resonance wavelength shift. In the present talk, we will detail on an optical device constituted by a planar hexagonal array of subwavelength-sized holes in a gold thin film functionalized with large-area graphene. The entire device is built on a glass substrate. Resonances of the device are measured for various incidence angles. We found that graphene enhances the plasmon resonances and induces a redshift of the resonance wavelength. This plasmonic device performs as a tunable molecular sensor. The simple graphene-coated metal grating device allows thus for a facile optical characterization at normal incidence, without the need of a complex Kretschmann configuration, greatly improving the portability of the measurement setup. Graphene was synthesized by atmospheric pressure chemical vapor deposition at 1000°C on copper foils with methane as carbon source. The graphene layer was transferred onto the studied substrates. Optical characterization was carried out at various incidence angles by using an integrating sphere setup mounted on a Perkin-Elmer Lambda 750S UV/Vis/near-infrared spectrophotometer. The samples were positioned such that the light source faces the graphene surface at normal incidence. The relative absorption of a graphene layer deposited on unstructured gold showed a weak enhancement by contrast with the corrugated gold film. Since corrugated metallic films allow for SP modes, it is a strong argument for the coupling between SPs on gold and graphene. To further support this, we have performed numerical simulations using a homemade code, based on a rigorous coupled wave analysis (RCWA) method. We have also analyzed the response of the devices in the presence of ethanol at the main plasmon resonance around 1.5 mu;m. For both types of gold samples (graphene-coated and bare), the transmission peak red-shifted when exposed to ethanol. However, the graphene-coated sample showed higher wavelength-shift sensitivity - the resonance peak shift being 33% greater than for the bare device [1].
[1] N. Reckinger et al., Applied Physics Letters 2013, 102, 211108; N. Reckinger et al., in preparation.
9:00 AM - RR7.24
Single Layer Graphene-Gold Suspended Plasmonic Nanostructures for Sensing Applications
Swathi Iyer 1 Ferenc Borondics 1
1Canadian Light Source Inc Saskatoon Canada
Show AbstractGraphene has long attracted attention for its high mobility, mechanical flexibility chemical inertness and biocompatibility in various electronic and sensing applications. In addition to electrical tunability, graphene offers remarkable versatility with respect to modulating its optical properties that has raised high expectation for its exploitation in a range of futuristic nanophotonic devices.
Integration of graphene with various plasmonic nanostructures and chemical doping have been recently attempted to tune plasmons in graphene and thereby its optical properties. We have fabricated freestanding large area single layer graphene-Au plasmonic nanostructures, which has been probed using the surface enhanced Raman scattering (SERS) and scanning X-ray transmission microscopy (STXM) to understand the distinct role of Au NPs and graphene -SPR in enhancing characteristic Raman signal. Such plasmonic structure can act as a test bed for chemical enhancement for very low concentrations to a chemical mechanism (CM). We will present our experimental observation using these Au-NP/G-SPRs for electromagnetic mechanism (EM) and CM via SERS; STXM to understand the inter-relation between chemical ambient, sensitivity and electronic properties.
9:00 AM - RR7.25
Fundamental Study of Boro-Carbon-Oxy-Nitride (BCON): A New Two Dimensional Material
Ganesh Rahul Bhimanapati 1 Maxwell Wetherington 1 Mike Kelly 1 Joshua A Robinson 1
1The Pennsylvania State University University Park USA
Show AbstractSince graphene, there have been many other two-dimensional materials systems (e.g. boron nitride, borocarbon nitride (BCN), transition-metal dichalcogenides) that provide an even wider array of unique chemistries and properties to explore future applications. In fact, these other 2D materials, are sometimes far better suited for many optoelectronic and mechanical applications. Specifically, tailoring graphene/boron nitride heterostructures, which retain the character of single-atom thick sheets that can withstand large physical strains, are easily functionalized, and have entirely different optical and mechanical properties compared to graphene can provide the foundation for entirely new research avenues. In recent years, it has been shown that because of the similar crystal structure, carbon, boron, and nitrogen can co-exist as atomic sheets in a layered structure. Thus, combining these materials to form a new heterogeneous material system known as boro-carbon-oxy-nitride (BCON) for potential nano-mechanical and electronic applications and to study its fundamental property relations is necessary. Here, we present the fundamental property relations of BCON and show that simple mixing of graphene and boron nitride will not yield highly integrated, stable suspensions of BCON, but rather special attention must be paid to solution chemistry, deposition processes, and drying of suspensions to form uniform BCON films.
We have developed a facile method of integrating boron nitride and graphene oxide via chemical exfoliation. Chemical exfoliation of graphene oxide and boron nitride powders is accomplished via oxidation in strong acids, as we find previous methods of sonication in polar solvents does not yield stable solutions of hBN. Upon exfoliation, Go and hBN are mixed, and the resulting BCON material can be suspended in DI water, with suspension stability depending on the pH of the GO. The study of the stability of this material at different pH conditions indicates a stable and a uniform solution is achievable at pH 6-7, but is not stable outside this range. Fourier transform infrared spectroscopy (FTIR) indicates the B-N-B bending in the BCON is decreased as an effect of parent GO. Further, we will present a full understanding of the effect of pH on these materials and the changes in the structural properties of these materials. The study of these changes in the chemistry at various pH and changes in the concentration of GO:h-BN are expected to impact the electronic band gap of this material, hence resulting in a tunable bandgap material.
9:00 AM - RR7.26
Chemically Exfoliated LTMD Thin Films for Supercapacitors
Muharrem Acerce 1 Damien Voiry 1 Cecilia de Carvalho Castro e Silva 1 Manish Chhowalla 1
1Rutgers University Piscataway USA
Show AbstractRecently, there has been tremendous work on using chemically derived graphene for supercapacitors. In addition to graphene, other types of 2D materials can be candidates for energy storage because they possess high surface area. Here we report on electrochemical storage properties of some layered transition metal chalcogenides (MoS2, WS2). We discuss about the storage mechanism of MoS2 and WS2 in variety of electrolytes. We demonstrate that the electrochemical properties of some of these materials are interestingly high with areal specific capacities reaching higher than 43mF/cm2. With reasonable cycle stabilities MoS2 could be promising for more compact energy storage systems especially in microelectronics.
9:00 AM - RR7.27
Mechanical Properties of Graphene Nanowiggles
Rafael A. Bizao 1 Tiago Botari 1 Douglas S. Galvao 1
1State University of Campinas Campinas-SP Brazil
Show AbstractThe advent of graphene [1] opened a new era in materials science. Graphene has unique electronic, optical and mechanical properties. Because of these properties graphene is considered as the basis for a new nanoelectronics. However, in its pristine form graphene is a zero bandgap semiconductor, which poses limitations to its use in some kind of transistors. One possible way to open the graphene gap is to create graphene nanorribons [2]. Graphene nanoribbons are finite graphene segments with a large aspect ratio. Recently, a new family of nanoribbons, called graphene nanowiggles (GNWs) [3,4] has attracted a lot of attention. GNWs are nonaligned periodic repetitions of graphitic nanoribbons. They have tunable electronic and magnetic properties depending on its geometry. Although their electronic and spintronic properties have been studied in details, the study of their mechanical properties and fracture patterns under mechanical load is missing. This is one of the objectives of the present work.
In this work we have investigated the mechanical properties and fracture patterns of a large number of GNWs of different sizes and topologies. We have carried out fully atomistic molecular dynamics simulations using the ReaxFF [5] force field, as implemented in the LAMPPS code [6].
Our results show that the GNW fracture patterns present some counterintuitive behavior, in the sense that some thinner sheets have larger ultimate failure strain values. This can be explained because thinner sheets have more angular freedom when compared to wide ones. Also, the observed graphene pattern failure that graphene zigzag/armchair edge terminated structures are fractured along armchair/zigzag lines is not observed for GNWs. The estimated Young modulus values were found at a range of ~750-1600GPa for different GNW geometries.
[1] K. S. Novoselov et al, Science, v306, 666 (2004).
[2] I. Deretzis and A. La Magna, Eur. Phys. J. B, v81, 15 (2011).
[3] J. Cai et al., Nature, v466, 470 (2010).
[4] E. C. Girao, L. Liang, E. Cruz-Silva, A. G. Souza Filho, and V. Meunier, Phys. Rev. Lett., v107, 135501 (2011).
[5] A. C. T. van Duin, S. Dasgupta, F. Lorant, and W. A. Goddard III, J. Phys. Chem. A, v105, 9396 (2001).
[6] S. Plimpton, J. Comp. Phys., v117, 1 (1995), http://lammps.sandia.gov/.
[7] K. Kim et al., Nano Lett., v12, 293 (2011).
9:00 AM - RR7.28
Controlled Synthesis of Large-Area and Uniform Graphene Film under Low Vacuum Using Rapid Thermal Annealing
Duc Dung Nguyen 1 Masamichi Yoshimura 1
1Toyota Technological Institute Nagoya Japan
Show AbstractWe report a new strategy to synthesize large-area and uniform graphene film via rapid thermal annealing of cellulose acetate membrane on a nickel foil surface under low vacuum. The effect of processing parameters (i.e., synthetic temperature, annealing time, heating and cooling rates) on the formation of graphene films is systematically investigated. Raman spectroscopy, UV-Vis spectroscopy, optical microscope, atomic force microscope, transmission electron microscope, and electrical measurement are utilized for characterizations of the graphene films. Mono to few-layer graphene can be obtained within 8 minutes for the entire processes, indicating a time-saving and low power-consuming synthetic route. The graphene films possess visible light transmittance in the range of 76 - 95 % and sheet resistance varying from 0.21 to 5.4 k#8486;/sq. Moreover, uniform graphene film with thickness of 1.4 ± 0.3 nm and transmittance of 93 % (at wavelength of 550 nm) is achieved after 30 seconds annealing at 800 oC under low vacuum of 0.6 Pa, suggesting a tri-layer graphene film attained [1, 2]. The uniformity of the tri-layer film is further proved by mapping its Raman characteristics [3]. The ratio of 2D to G peak intensities (I2D/IG) ranges from 0.7 to 1.4, while the full width at half maximum (FWHM) distributes from 55 to 90 cm-1. The tri-layer graphene film is then integrated with sparse networks of double walled carbon nanotubes for improved electrical conductivity (one order higher) with transparency maintained over 85 %. The development of low-vacuum and rapid synthesis of graphene films presented in this study shows promise for low-cost fabrication of flexible and transparent conductors with tunable properties for future applications in flexible optoelectronic, energy, and sensing devices.
References
[1] A. Reina, X. Jia, J. Ho, et al., Nano Let., 9, 30-35 (2009).
[2] R. R. Nair, P. Blake, A. N. Grigorenko, et al., Science, 320, 1308 (2008).
[3] C. H. Lui, Z. Li, Z. Chen, et al., Nano Let., 11, 164-169 (2011).
9:00 AM - RR7.29
Functionalized Graphene-Based Materials with Applications in Sensing of VOCs and Energy Storage
Carlos Zuniga 1 Timothy M Swager 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractThe development of new graphene-based materials tailored for applications in gas sensing and energy storage, as well as other applications, remains an important goal. We present on our continued efforts to develop scalable synthetic methods for obtaining bulk levels of chemically functionalized graphene materials derived from sources including graphite oxide (GO) or directly from graphite. Our work includes diazonium chemistry performed directly on partially reduced GO as well as new approaches toward the functionalization of intercalated graphite. The functional groups installed include moieties with molecular recognition properties and/or metal chelating (i.e. carboxylates, etc.) groups. The materials have been characterized by various methods including XPS, XRD, TGA, and BET and have been examined in preliminary test devices.
9:00 AM - RR7.30
Two Methods for the Production of Self Assembling 3D Graphene Monoliths
David Herron 1 Ulrike Wegst 1 Shih Feng Chou 1
1Dartmouth Cambridge USA
Show AbstractThe supermaterial graphene has been investigated intensely over the past decade since its discovery, and recently, self-assembling 3D architectures of graphene have been one of the most exciting developments in the scientific world, due to their potential for application in fields such as electronics, sensing, and solar. Problems remain in terms of how to mass produce functional graphene and how to link the valuable nanostructure of graphene to a macrostructural application. One promising fabrication technique to address both of these shortcomings is the process of freeze casting, or the directional solidification and sublimation of ice crystals through an aqueous slurry. The ice crystals segregate out solid particulate of the desired material or material precursor to mold a 3D microstructure, leaving behind an ordered, self assembled, hierarchical pore structure. This method was employed to synthesize structures of graphene oxide and highly reduced graphene oxide. The purpose of this study was an exploration into the viability of applying the benefits of aligned, tunable porosity to a material with as impressive mechanical, thermal, and electrical properties as graphene. Graphene oxide was prepared, reduced, and frozen two separate ways. In the first method, graphene oxide was chemically reduced with L-Ascorbic Acid to form a hydrogel, which was subsequently frozen directionally. In the second, graphene oxide was directionally frozen with a binder (sucrose), and was then thermally converted to reduced graphene oxide and residual carbon, respectively. Aerogels from the hydrogel precursors (31 mg/cm3) could be compressed up to 80% and spring back to nearly full form and had extraordinary electrical conductivity (160 S/cm), while scaffolds produced through the binder method and thermally annealed (12.1 mg/cm3) exhibited improvements over their green body, unsintered counterparts (25.0 mg/cm3) in terms of stability and mechanical strength. Through both these freeze casting methods, low density aerogels of graphene oxide and reduced graphene oxide were successfully prepared, and control over an aligned, porous, and hierarchical 3D architecture is demonstrated.
9:00 AM - RR7.32
Highly Compliant and Stretchable Patterned Large-Area Graphene
Shuze Zhu 1 Teng Li 1
1University of Maryland College Park USA
Show AbstractPatterned large-area graphene, or graphene nanomesh, has attracted a lot of attention recently as it can open up a band gap in a large sheet of graphene to generate a semiconducting thin film which is of practical importance in the development of novel electronic devices and circuits. However, the mechanical properties of such graphene nanostructures with sub-10-nm features have been barely reported. In this work, by employing a coarse grained simulation scheme capable of managing large length scale, we found that such patterned graphene was able to achieve much higher stretchability and compliance than pristine graphene, offering potential guidance into the design of highly stretchable graphene-based electronic devices and circuits. Similar idea is also applicable to other 2-D layered materials.
9:00 AM - RR7.33
On the Dynamics of Graphene Healing
Tiago Botari 1 Pedro A. S. Autreto 1 Ricardo Paupitz 2 Douglas S. Galvao 1
1State University of Campinas Campinas-SP Brazil2Universidade Estadual Paulista Rio Claro Brazil
Show AbstractThe advent of graphene created a new era in materials science [1]. Graphene has unique electronic, thermal and mechanical properties. One of the graphene problems that are still not fully understood is the healing mechanism (how graphene reconstructs itself from defective areas). Recently [2], it was experimentally demonstrated that graphene nanoholes, etched under an electron beam can heal spontaneously through reknitting-like mechanisms. This self-healing process occurs due to the availability of carbon atoms that come from the environment (possibly from the hydrocarbon impurities) near the membrane. These carbon atoms eventually interact directly with the hole border. They can react with the hole border atoms becoming part of the defective graphene membrane. The hole filling can occur with the formation either of nonhexagonal, quasi-amorphous or perfectly hexagonal structures [2].
In order to gain further insights about these processes we have carried out fully atomistic molecular dynamics simulations using the ReaxFF [3] force field as implemented in the LAMPPS code [4].
In the simulations we mimicked the experimental conditions reported in [2]. We have considered graphene membranes with a large hole. A flux of carbon atoms is directed towards this region and they can react forming or breaking chemical bonds. In the experiments [2] the electron beam can act as a local heat source, providing enough energy to the system overcome the energy defect formation. In the simulations we have considered temperatures high enough to satisfy this condition (~ 800 K).
Our results show that, typically, the healing of nanoholes occurs with the formation of short straight carbon chains connecting different parts of the nanohole. Although these chain are relatively stable, the available thermal energy and fluctuations leads them to break and rearrange themselves forming different types of member rings (mainly 5, 7, and 8 member rings). This continued process fed by the continuous source of carbon atoms, eventually completely fills (or almost completely) the hole. Intermediate structures formed by 3- and 4-member rings are frequently observed but the annealing process lead them to evolve to more stable structures (5- and 6-member rings). We were able to observe the complete healing (filling the hole) process of the graphene membranes, sometimes with perfect structures, sometimes with the presence of defects (mainly vacancies and Stone-Wales defects).
[1] K. S. Novoselov et al., Science, v306, 666 (2004).
[2] R. Zan, Q. M. Ramasse, U. Bangert, and K. S. Novoselov, Nano Lett., v12, 3936 (2012).
[3] A. C. T. van Duin, S. Dasgupta, F. Lorant, and W. A. Goddard III, J. Phys. Chem. A, v105, 9396 (2001).
[4] S. Plimpton, J. Comp. Phys. v117, 1 (1995), http://lammps.sandia.gov/.
9:00 AM - RR7.34
Fabrication and Simulation of a Graphene-Carbon Fiber Composite Hybrid for Electrical Shielding
Max Tenorio 1 Stephen Recchia 2 Stephen D. Tse 1 Bernard H. Kear 2 Assimina A. Pelegri 1
1Rutgers, The State University of New Jersey Piscataway USA2Rutgers, The State University of New Jersey Piscataway USA
Show AbstractComposite aircraft lack the protective conductivity that aluminum-shelled aircraft naturally offer. To this end, a layered composite structure comprised of few-layers graphene on carbon fiber reinforced polymer composite is developed to investigate the potential for graphene to absorb and dissipate the thermal and electrical effects of a lightning strike. Graphene produced via a novel inverse-diffusion flame (IDF) process is used to fabricate graphene-reinforced polymer matrix composites and can theoretically be employed for mass production via a continuous process. Several substrate materials are investigated to adhere graphene to a composite structure, including Sol-gel, Poly(methyl methacrylate), and Hexflow 8552 epoxy resin. Transfer and adhesion of the graphene to the composite substrate is investigated using two different techniques. The first is pressing graphene-backed copper into uncured pre-impregnated carbon fiber, curing the composite, and then etching the foil with ferric chloride to reveal the graphene. The second is by adhering the copper foil to already-cured composite structures using liquid PMMA, curing the PMMA, and then etching the foil. Current work on these prototype materials includes (i) graphene characterization via XRD and Raman spectroscopy, (ii) atomic force microscopy, nanoindentation, and nano scratch testing to map the surface and determine the graphene&’s adhesion to the substrate surface, and (iii) conductivity testing using the AFM&’s built-in conductivity probes and the four-probe electrical test method to elucidate graphene carbon fiber composites&’ electrical conductivity. Furthermore, in parallel a computational model is being developed to simulate the electrical-thermal effects and the thermal-mechanical effects of the novel graphene composite. The in situ production of large area pristine graphene based on IDF technology is expected to afford not only scalable large volume production but also large-area growth over different contoured surfaces at high rates, resulting in graphene composites that outperform the traditional carbon fiber composite materials in multifunctionality and structural performance.
9:00 AM - RR7.35
Fabricating Carbon Nanotube-Based Structural Materials via Carbon-Ion Implantation
Jennifer Carpena 1 2 Jose A. Hernandez 1 Luis F. Fonseca 1 Emilie J. Siochi 2
1University of Puerto Rico, Rio Piedras San Juan USA2NASA Langley Research Center Hampton USA
Show AbstractCarbon Nanotubes&’ (CNTs) high modulus, high strength and low density makes them ideal candidates for the development of ultra -light and -strong structural materials. However, their excellent mechanical performance cannot be fully accessed at the macroscale, as the bundle&’s performance is significantly inferior to that of individual CNTs as they fail to transfer load to one another. Among the methods for the modification of CNTs for load transfer, electron irradiation has been demonstrated to successfully establish crosslinking in CNT bundles, yet this technique is performed in rather small areas at irradiation doses of 1019. For large scale production of strong CNT mats, a scalable process should be established that can reproduce crosslinking as that obtained via electron irradiation. The current work reports on the advancements towards fabricating super-strong carbon nanotube-based materials via carbon-ion implantation to address issues related to achieving the mechanical properties promised by CNTs through the creation of dangling bonds and subsequent covalent bonding of neighboring CNTs. Ion implantation has been theoretically and experimentally demonstrated to partially and controllably break the CNT networks to increase the number of dangling bonds in tubes and interact with those of neighboring CNTs, reconstructing and interconnecting networks of CNTs. This work provides experimental evidence of improved mechanical performance of bundles in CNT mats via carbon ion implantation. Our irradiated samples, bombarded with an approximate ion dose of 103-104 cm-2, show clear amorphization of the CNT-bundles&’ outer tube layer. Mechanical data, collected via in-situ Transmission Electron Microscopy-Atomic Force Microscopy (TEM-AFM) inside the vacuum chamber of a TEM, shows an increase in tensile and shear strength for an ion irradiated hexagonally packed CNT bundles. The shear interaction between a CNT bundle containing a larger degree of damage and a neighboring bundle containing a small degree of damage exceeded the tensile strength of the griping component (amorphous carbon welding) used in the experimental setup, with failure at the grip point potentially indicating that the bundles are no longer physically held together by weak van der Waals forces. In addition, an enhanced shear interaction is observed when exposed to additional amorphous carbon binding, obtained by electron beam induced deposition, implying that the dangling bonds act as chemical hooks to additional binding species and can potentially improve adhesiveness of CNTs to epoxy systems commonly used in structural composites. Carbon-ion irradiation provides a route of enhancing shear interaction of otherwise weakly held CNTs bundles, tubes and walls. The current work opens up a way of inducing damage for CNT crosslinking comparable to that produced in the TEM via electron beam irradiation, at larger scales and lower irradiation dose and energies.
9:00 AM - RR7.36
Encapsulation and Electron Microscopy of Microscopic Objects Using Graphene Oxide Membranes
Alexander Yulaev 1 Andrei Kolmakov 1 2
1SIUC Carbondale USA2NIST Gaithersburg USA
Show AbstractWe report on a new technique for facile and gentle encapsulation of micro and nano objects at arbitrary substrates, using electron (photon) transparent graphene oxide membranes. The encapsulation is based on a droplet formation around the encapsulated object and relies on the effect of facile water permeability through the surface segregated quasi-2D network of graphene oxide flakes. The process of encapsulation was studied using a set of model objects and micro-structured surfaces such as nanowires, inorganic droplets, micro-bubbles, pollen and microorganisms. Via controlling the hydrophobicity and morphology of the substrates few specific regimes of encapsulation, such as wrapping and isolating, covering have been realized. Finally, an electron microscopy of the encapsulated objects has been studied. In particular, image contrast formation mechanisms, beam broadening and its attenuation were discussed. This technique can be demanded in histological practices, forensic analysis, archeology or planetary science of rare micro-artifacts, medical and bio applications.
9:00 AM - RR7.37
Covalent Functionalization of Large Scale Graphene for Enhancing Tri-Iodide Reduction in Dye-Sensitized Solar Cells
Santanu Das 1 Wonbong Choi 1
1University of North Texas Denton USA
Show AbstractAbstract:
We report the synthesis, functionalization and electrochemical characterization of graphene film for tri-iodide reduction in dye sensitized solar cells (DSSC). We grow graphene using CVD of methane on Cu foil and transfer the film on to FTO glass substrates. Furthermore, graphene on FTO is functionalized using HNO3 with different concentration of HNO3. We found that HNO3 functionalization on graphene enhances the tri-iodide reduction rate three times in dye sensitized solar cell compare to that of the pristine graphene. The Raman spectroscopy, x-ray photoelectron spectroscopy (XPS) and ultra-violet photoemission spectroscopy (UPS) studies confirm the covalent attachment of C-OH, C-(O)-OH and NO3- moieties with carbon atoms through sp2-sp3 hybridization, and results in Fermi level shift towards p-type doping. Graphene electrodes doped with HNO3 show VOC, JSC, and PCE as high as 0.7 V, 11.5 mAcm-2, and 3.21% respectively in a DSSC full cell. We believe that, the covalently attached functional groups cause the enrichment of the electro-catalytically active sites along with facilitating the charge transfer kinetics from graphene counter electrodes to redox couples.
9:00 AM - RR7.38
Measurement of Graphene Substrates Adhesion Energy Using Nano-Scratch Study
Santanu Das 1 Wonbong Choi 1
1University of North Texas Denton USA
Show AbstractAdhesion strength of graphene with different substrates have recently been the subject of intense research for projecting the future graphene based electronic device research in a more reliable and applicable manners. We report a method to measurement of the adhesion energy of 2D graphene on different substrates using a unique nano-scratch study. Nano-scratch experiments was carried out at the graphene-substrate interface to peel off the graphene layers from the substrate and measure the energy required to peeled off graphene layers. Further, we calculate the energy required to peel off graphene layers per unit atom as a bonding energy between graphene and substrates. The adhesion energy of graphene with the substrates were calculated and found to be 12.8 J.m-2 and 72.7 J.m-2 on the Cu and Ni respectively. Density functional Theory (DFT) calculations shows that the graphene/Ni interface exhibits more covalent bonding than graphene/Cu which is partially ionic, hence the reason for the higher adhesion energy for graphene/Ni. We believe that our method of measurement of graphene-substrate adhesion energy is one of the ever reported direct method at nanoscale which could further be extended to measure other newly invented 2D layered materials (like, Boron Nitide, MoS2 etc.) - substrates adhesion energy.
9:00 AM - RR7.39
MnO2 Nanowire-Graphene Composite for Supercapacitor
Shirui Guo 1 Wei Wang 2 Mihri Ozkan 2
1Lawrence Livermore National Lab Livermore USA2UC Riverside Riverside USA
Show AbstractIn recent years, electrochemical supercapacitors show potential applications in electric vehicles, portable electronics etc..Various materials were investigated for electrochemical supercapacitors including (i) carboneous materials, (ii) conducting polymers, and (iii) transition-metal oxides. Among transition-metal oxides, amorphous hydrated ruthenium oxide exhibits remarkably high specific capacitance and excellent reversibility because of the ideal solid-state pseudofaradaic reaction. However, the high cost, low porosity, and toxic nature of RuO2 limit its practical application. Therefore, some cheap and environmentally friendly metal oxides have received more and more attention.
MnO2 as a promising material for electrochemical supercapacitors has attracted much attention because of its high specific capacitance, ability to chargeminus;discharge rapidly, good cycle stability, low cost, and environmentally benign nature. Furthermore, MnO2 can be used in neutral aqueous electrolytes, unlike RuO2xH2O and NiOOH, which can only be used in strong acidic or alkaline electrolytes, thus causing environmental problems. It has been especially emphasized that the electrochemical characteristics of MnO2 materials strongly depend on their structural parameters such as polymorphs, morphology, particle size, and bulk density. With convenient solution method, we have synthesized MnO2 nanowires/graphene composite for supercapacitor electrode. The specific capacity and energy density reach 800F/g and 20Wh/Kg.
9:00 AM - RR7.40
Novel Technique for Formation of Flexible Graphene Patterns Based on Graphene Oxide aAn Aqueous Solution
Seil Kim 1 Young-Tae Kwon 1 Yo-Min Choi 1 Young-In Lee 2 Yong-Ho Choa 1
1Hanyang University Ansan Republic of Korea2University of California-Riverside Riverside USA
Show AbstractPrinted electronics offer an attractive alternative to conventional technology by enabling low-cost, large area, light-weight and potentially flexible device, that have the great potential for various applications such as flexible displays, smart label and transparent conductors. Inkjet printing is one of the methods to make the printed electronics using various material inks which containing metallic materials such as silver, gold and copper. However, gold and silver based inks are very expensive to be used in large area printing, and copper is easily oxidized in the atmosphere. There is still a need to search better candidate for printable conducting inks. Graphene oxide (GO) materials which can be synthesized by chemical oxidation of graphite with intercalation agent such as sulfuric acid and nitric acid and electrical properties of Graphene can be restored by chemical or thermal reduction. Above all, Graphene oxide has a great advantage for inkjet printing process because it can be easily dispersed in water without an addition. In this study, we fabricated graphene patterns on flexible substrate with graphene oxide well dispersed aqueous solution. Furthermore, using hydrogen plasma equipment, we easily formed high conductive graphene patterns at low temperature.
9:00 AM - RR7.41
Evolution of Electronic Structures of Bilayer-Graphenes from the Bernal Stacking to the Unconventional Orthorhombic Stacking
Changwon Park 1 Mina Yoon 1 Gunn Kim 2
1Oak Ridge National Laboratory Oak ridge USA2Sejong University Seoul Republic of Korea
Show AbstractWe investigated the electronic properties of bilayer-graphenes with various stacking, which can be formed for example during the structural transition from graphite-to-diamond at a high-pressure [1], boundary of stacking domains [2] and diamond surfaces [3]. We performed first-principles calculations and Wannier interpolations for accurate two-dimensional band structure with extremely dense (1600x1600) k-point grids and constructed an effective Hamiltonian to efficiently describe the electronic structures of bilayer-graphenes with various stacking arrangement. Depending on their stacking configuration there is a relative shift and coupling of two Dirac cones, which determines the overall electronic properties. We found that external electric field is another parameter to control their electronic properties. Specifically, external fields significantly modify the effective coupling of two Dirac cones, which result in additional or new van Hove singularities near the Fermi level. Our study provides a deeper understanding of sliding effects of multilayer graphene.
Acknowledgements: CP was supported by theme research at the Center for Nanophase Materials Sciences, which is sponsored at Oak Ridge National Laboratory by the Scientific User Facilities Division, Office of Basic Energy Sciences, U.S. Department of Energy. MY was supported by the Materials Sciences and Engineering Division, Office of Basic Energy Sciences, U.S. Department of Energy.
References
[1] “Pressure-Induced Transformation Path of Graphite to Diamond”, Phys. Rev. Lett. 74, 4015 (1995)
[2] “Stacking Domains of Epitaxial Few-layer Graphene on SiC(0001)”, Phys. Rev. B. 80, 085406 (2009)
[3] “The Growth of AA Graphite on (111) Diamond”, The Journal of Chemical Physics 129, 234709 (2008)
9:00 AM - RR7.42
Synthesis of Graphene Phosphate
John Goods 1 Timothy Swager 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractA new covalent surface modification of graphene is demonstrated via the reaction of graphene oxide with triethyl phosphite in the presence of lithium bromide. Characterized by XPS, SEM, ATR-IR, and 31P ssNMR, this material possesses phosphonate groups covalently linked to the graphene basal plane, while individual graphene sheets are separated by lithium phosphate networks. This material shows remarkable compressive strength that can be tailored by altering the amount of LiBr in the original reaction to produce materials of differing strength and elasticity. The close association between water-soluble lithium phosphate salts and the covalently functionalized phosphonate-graphene provides a thermolytic template by which the creation of porous and conductive graphene phosphate structures can be achieved upon annealing. The annealed graphene phosphate possesses elastic strength properties as well as exceptional ultimate compressive strength.
9:00 AM - RR7.43
Molecular Beam Epitaxy of MoSe2 on CaF2 Substrates
Suresh Vishwanath 1 Xinyu Liu 2 Sergei Rouvimov 3 Jacek K. Furdyna 2 Debdeep Jena 1 Huili Grace Xing 1
1University of Notre Dame Notre Dame USA2University of Notre Dame Notre Dame USA3University of Notre Dame Notre Dame USA
Show AbstractIntroduction: Transition metal dichalcogenides (TMDs) have drawn intense attention lately due to the possibility of realizing low power electronics and other novel devices [1]. Although proof-of-concept devices have been demonstrated recently with exfoliated TMD flakes, controllable growth of large-area electronic-grade material is in its infancy. Molecular beam epitaxy (MBE) holds the promise to allow layer-controlled uniform growth and precision in doping TMD materials. Quasi van der Waals epitaxy of ultrathin MoSe2 films on CaF2 substrates was demonstrated by A. Koma et al. [2], but the characterization of those films was limited to reflection high-energy electron diffraction (RHEED) and Auger electron spectroscopy. In this work we have grown MoSe2 films with a range of growth parameters including substrate temperature, beam flux, growth time, etc. We also characterized the grown films extensively using Raman spectroscopy, atomic force microscopy (AFM), absorption spectroscopy, and transmission electron microscopy (TEM).
Growth: CaF2 substrates were first annealed at 800°C (thermocouple temperature) for 30 minutes to achieve F-terminated surface and then cooled down to the growth temperature. E-beam evaporation was used for the Mo source, and a Knudsen cell was used as the Se source. The growth time was varied between 10 and 30 minutes. The Mo ion current was set to be ~ 26 nA while the Se pressure was varied in the range of 1E-7 and 1E-6 Torr.
Observations: Streaky RHEED was observed during the growth of MoSe2 when the growth temperature was between 450-500°C and the selenium pressure was near 5E-7 Torr. Strong and uniform MoSe2 A1g peak around 240 cm-1 was observed over > 900 mu;m2 area in the Raman spectroscopy and mapping. Cross-sectional TEM images clearly show 6-7 monolayers, which amount to a film thickness of ~ 40 Å, for a 30 minute growth. E-beam diffraction on transferred MoSe2, for in-plane TEM, clearly shows hexagonal pattern, confirming crystallinity. TEM energy dispersive spectroscopy (EDS) shows the Mo:Se ratio of 1:1.99 for over 99% of the film, and ~ 1:1.75 in several small inclusions. Lattice constants calculated from diffraction and cross-section images match with natural MoSe2 within 1-2% [3]. Absorption spectra show two absorption peaks between 650 nm and 800 nm, similar to the peaks seen in the MoS2 absorption spectrum. Electrical characterization of MoSe2 on SiO2/Si substrate, transferred using scotch tape, revealed n-type behavior. The AFM shows the smoothest MoSe2 films to be nearly identical to the smoothness of the CaF2 substrate, with a RMS of ~ 0.3 nm over 2 mu;m x 2 mu;m.
We believe this demonstration of MBE growth and characterization of crystalline MoSe2 is an instructive step toward the growth of other TMDs and their heterostructures.
[1] B. Radisavljevic et al., Nat. Nanotech. 6, 147 (2011)
[2] A. Koma et al., Appl Surf. Sci. 41/42, 451 (1989)
[3] L.M. Kulikov et al, Inorg. Mater. 28, 397 (1992)
9:00 AM - RR7.44
Electrical Control over Photoluminescence of Quantum Dots Using Electrostatic Gating of Graphene
Jiye Lee 1 Wei Bao 1 April Sawvel 1 Feng Wang 2 Alexander Weber-Bargioni 1
1Lawrence Berkeley National Laboratory Berkeley USA2University of California, Berkeley Berkeley USA
Show AbstractQuantum dots are popularly used as single-photon sources in quantum information sciences and nanoscale light-emitters in biological imaging. Electrical control over light emission of individual nanocrystals with sub-diffraction spatial resolution will enable new functions in quantum information processing and optical detection of biological molecules. We demonstrate electrical switching of photoluminescence of semiconductor nanocrystals using electrostatic gating of graphene. Our device consists of submonolayer lead sulfide colloidal nanocrystals on top of gated graphene with a thin (< 5 nm) dielectric layer between them. For an “off” state, the photoexcitation of a nanocrystal is transferred to graphene by Förster resonant energy transfer, quenching the light emission. During an “on” state, we apply a gate bias to graphene to change the Fermi level and, consequently, open the optical bandgap of graphene by Pauli blocking effects. The graphene becomes transparent for the luminescence wavelength of the nanocrystal, switching on the light of nanocrystals.
9:00 AM - RR7.45
Vibrational Properties of Graphane with Vacancy-Type Defects
Md. Sherajul Islam 1 Akihiro Hashimoto 1
1University of Fukui Fukui Japan
Show AbstractGraphane, an extended two-dimensional covalently bonded hydrocarbon by reversible hydrogenation of graphene has attracted immense interest recently, due to its potential applications in future electronic devices [1]. The lattice vibrations of graphene and its related materials have a significant effect on the electron transport properties. However, there is little attention on the vibrational properties on defective graphane. In this work, we first systematically investigated the vibrational properties of graphane using forced vibrational (FV) method [2] suitable to treat complex and large physical systems.
We created a random vacancy-type defects over a broad range in graphane by the bond percolation procedures. The FV method has been applied to calculate the phonon density of states (PDOS) and mode patterns in the total of 10500 lattice spaces. We used the interactions up to the fourth neighbor atoms which are necessary to get accurate results.
Our results showed that there appear high and low frequency regions in the PDOS curves. We clearly observed broadening and softening of the PDOS peaks in the low frequency region persuaded by their defect formations. We also found that the localized vibrational modes near 2800cm-1, caused by the mass differences between C and H atoms. The vibrational properties of graphane are strongly affected by vacancy-type defects.
In conclusion, the lattice vibrations in the defective graphane strongly depends on the defect density and the increase of PDOS in the low frequency region induced by the defect formation. Our findings can be useful for the future experiments of graphane, as well as in the study of other physical properties allied with the lattice vibrations.
References
[1] D.C.Elias et al., Science 323, 5914(2009)
[2] M. L. Williams and H.J. Maris, Phys. Rev. B 31, 4508(1985)
9:00 AM - RR7.46
Dopant Distribution and Defect Configurations in Single- and Few-Layer Cucr1-X(In)XP2S6>
Qian He 1 Alex Belianinov 1 Andrius Dziaugys 2 Yulian Vysochanskii 3 Sergei Kalinin 1 Albina Borisevich 1
1Oak Ridge Natl Laboratory Oak Ridge USA2Vilnius University Vilnius Lithuania3Uzhgorod University Uzhgorod Ukraine
Show AbstractTransition metal chalcogenophosphates (MPX3, with M = Fe, Co, Ni, and etc, X = S or Se) form a large family of lamellar compounds. The structure is made up of X-M2/3-(P2)1/3-X slabs, with the M cations and P pairs occupying the octahedral voids within the close-packed chalcogen anion network, with slabs connected by van del Waals forces. The structure and physical properties of these compounds, including band gaps of ~ 2.0 eV and considerable ionic conductivities, make them intriguing candidates for photo-, electro-chemical and electronic applications at 2-D. Additionally, the structure and properties of these compounds can be extended via heterocharge substitution of the divalent M cations, obtaining new phases with MI-MIII or 2MI-MII chalcogenophosphates. [1, 2]
Despite the great potential to be used as novel 2-D material, few efforts have been applied to isolate thin layers of transition metal chalcogenophosphate and study their structure and properties at low dimensions. In this study we use CuCr1-x(In)xP2S6 as a model system, and use mechanical exfoliation methods (“scotch tape” and crushing) to prepare single- and few-layer specimens, which are then characterized using scanning probe microscopy, Raman spectroscopy and aberration corrected scanning transmission electron microscopy (AC-STEM). Particularly, the AC-STEM, which combines chemical sensitive imaging and analysis techniques, with the sub-angstrom resolution and picometer precision, serves as a unique tool to probe local atomic arrangements and electronic structures, such as dopant distribution, boundary structures and other crystal defects. Our progress will pave the way for better understanding and design of these compounds, adding an important new family to the 2-D material field.
[1] A. Dziaugys et al., Physical Review B 85 (2012).
[2] V. Maisonneuve et al. Journal of Alloys and Compounds. 218 (1995)
* Research supported by the U.S. Department of Energy (DOE), Basic Energy Sciences (BES), Division of Materials Sciences and Engineering, and through a user project supported by ORNL&’s Shared Research Equipment (ShaRE) User Program, which is also sponsored by DOE-BES.
9:00 AM - RR7.47
Growth of AlN on Graphene Substrate by RF-MBE
Kensuke Kasagi 1 Kouji Shimizu 1 Yuki Sakagawa 1 Takayuki Makino 1 Akihiro Hashimoto 1
1University of Fukui Fukui Japan
Show AbstractLattice-mismatched hetero-epitaxial growth of nitride has some essential problems such as generation of high density dislocations due to the large lattice-mismatch between the epitaxial layer and the substrate. It is well-known that the electronic properties of nitride semiconductor are very sensitive to the imperfection of the crystal structure like the dislocations and the point defects. Therefore, some breakthrough in the epitaxial technique for the nitride growth is expected to further improve the crystal quality. Recently, some successful reports on the growth of GaN on highly oriented graphite substrate by PSD, MOCVD and RF-MBE methods have been reported [1,2,3]. In our previous work, we also proposed a new epitaxial concept which was grown only using the symmetry of the periodic potential between the epitaxial layer and the substrate [4]. In this paper, we investigate the AlN as the buffer layer grown on the single domain tri-layer epitaxial graphene to improve the crystalline quality of the successive growth of group three nitride layer such as GaN and InN.
AlN was grown directly on the tri-layer epitaxial graphene formed on the 6H-SiC(0001) by RF-MBE at 700 degrees. The sample was structurally characterized by the X-ray diffraction (XRD), the atomic force microscope (AFM) and Raman measurements.
In XRD measurements, we observed the AlN peak around 36°(2theta;/omega;). The XRD result indicates that the single crystalline AlN is grown on the graphene substrates. By AFM measurements, it has been revealed that the surface of the AlN layer grown on the epitaxial graphene become more irregular compared to the case of the conventional growth of AlN on the α-Al2 O3 (0001) substrate. Furthermore, we observed a lot of small AlN domains on the graphene substrate. The Raman results indicated that the microscopic crystal quality is almost same in both cases.
In conclusion, we have investigated the AlN direct growth by RF-MBE on the tri-layer epitaxial graphene formed on vicinal Si-farced SiC substrate. We found that the AlN alloys can be grown on graphene surface directly by RF-MBE.
[1] T. Kaneko, et. al, Extended Abstract of the 70th meeting of the JSAP 8a-F-5 (2009)
[2] N. Nepal, et. al, J. Appl. Phys. Express 6 (2013) 061003
[3] K. Kodama, et. Al, Abstract of ICNS-9, Glasgow, UK PB2.36 (2011)
[4] K. Kotake, et. al. Abstract of MBE2010, Berlin, Germany, “RF-MBE growth of InN on HOPG substrate.”
9:00 AM - RR7.48
Al0.7Ga0.3N Growth on Epitaxial Graphene by RF-MBE
Yuki Sakagawa 1 Kouzi Shimizu 1 Takayuki Makino 1 Akihiro Hashimoto 1
1University of Fukui Minamiechizen Japan
Show AbstractLattice-mismatched hetero-epitaxial growth of nitride has some essential problems such as generation of high density dislocations due to the large lattice-mismatch between the epitaxial layer and the substrate. It is well-known that the electronics properties of nitride semiconductor are very sensitive to the imperfection of the crystal structure like the dislocations and the point defects. Therefore, some breakthrough for the epitaxial technique for the nitride growth is expected to improve the crystal quality. Recently, some successful reports on the growth of GaN on the highly oriented graphite and the graphene substrate by PSD, OMVPE and RF-MBE method have been reported [1][2][3]. Therefore, in the growth of ternary nitride such as AlGaN on graphene, we expect the suppression effect of the generation of the dislocations due to lattice mismatch with the substrate. In this report, we investigate on the growth of Al0.7Ga0.3N layer by RF-MBE on the single domain tri-layer epitaxial graphene formed on the Si-face SiC substrate by the sublimation technique, in order to the free from the lattice mismatch conditions.
Al0.7Ga0.3N was grown directly on the epitaxial graphene formed on the SiC substrate at 700degrees by RF-MBE. We characterize the crystal quality of Al0.7Ga0.3N grown layer by X-ray diffraction and Raman scattering spectroscopy.
XRD-FWHM from the Al0.7Ga0.3N layer on the epitaxial graphene on the 6H-SiC (0001) substrate is 410 arcsec which is better than the value of 750 arcsec from the grown layer on the Al2O3 (0001) substrate.
We have investigated Al0.7Ga0.3N epitaxial growth on the tri-layer epitaxial grapheme formed on the vicinal Si-faced SiC substrate and we found that the crystalline quality of the Al0.7Ga0.3N layer on the epitaxial graphene is higher than the case of the Al0.7Ga0.3N grown layer on the Al2O3 (0001) substrate.
[1] T. Kaneko et. al., Extended Abstract of the 70th meeting of the JSAP 8a-F-5 (2009)
[2] K.Kodama et al, (ICNS-9), PB2.36, Glasgow, UK(2011)
[3] N. Nepal et. al., Applied Physics Express 6,061003 (2013)
9:00 AM - RR7.49
Laser Photochemical Reduction and Doping of Graphene Oxide for Organic Electronics
Emmanuel Stratakis 1 Minas Stylianakis 2 Kiriaki Sava 1 Emmanuel Kymakis 2
1FORTH-IESL Heraklion Greece2TEI of Crete Heraklion Greece
Show AbstractThe present talk will review our recent results on the pulsed laser assisted modification of graphene oxide for organic photovoltaics. In particular, a simple method which enables the in situ reduction of spin coated graphene oxide (GO) films on flexible substrates, without destroying their integrity and flexibility, is demonstrated. Flexible organic photovoltaic (OPV) devices using the laser reduced GO films as the transparent electrode were fabricated and studied. Such devices displayed a power-conversion efficiency of 1.1 %, which is the highest reported so far for OPV cells incorporating reduced GO as the transparent electrode. Furthermore we report a simple photochemical method for the simultaneous reduction and functionalization of GO sheets through pulsed UV laser irradiation of GO in liquid precursor media. Using this technique we have successfully synthesized GO-ethylene dinitrobenzoyl (EDNB) at room temperature in less than 2 hours, compared to 3 days required upon using a conventional chemical route. The GO-EDNB derivative was used as the electron acceptor material in poly-(3-hexylthiophene) (P3HT) bulk heterojunction photovoltaic devices to significantly enhance the performance, yielding a power conversion efficiency improvement of two orders and one order of magnitude compared with the pristine P3HT and the P3HT-GO devices respectively.
9:00 AM - RR7.50
Electron Transport Properties of Reduced Graphene Oxide Sheets
Saiful I. Khondaker 1 Daeha Joung 1
1University of Central Florida Orlando USA
Show AbstractReduced graphene oxide (RGO), a chemically functionalized atomically thin carbon sheet, provides a convenient pathway for producing large quantities of graphene via solution processing. The easy processibility of RGO sheet and its composites offer interesting electronic, chemical and mechanical properties that are currently being explored for advanced electronics and energy based materials. However, a clear understanding of electron transport properties of RGO sheet is lacking which is of great significance for determining its potential applications. In this talk, we will present fabrication of high-yield solution based graphene field effects transistor (FET) using AC dielectrophoreis (DEP) and investigate the detailed electronic transport properties of the fabricated devices. The majority of the devices show ambipolar FET properties at room temperature. However, the mobility values are found to be lower than pristine graphene due to a large amount of residual defects in RGO sheets. We calculated the density of these defects by analyzing the low temperature (300 to 77K) charge transport data using space charge limited conduction (SCLC) with exponential trap distribution. At very low temperature (down to 4.2 K), we observe Coulomb blockade (CB) and Efros-Shklovskii variable range hopping (ES-VRH) conduction in RGO implying that RGO can be considered as a graphene quantum dots array (GQD), where graphene domains act like QDs while oxidized domains behave like tunnel barriers between QDs. This was further confirmed by studying RGO sheets of varying carbon sp2 fraction from 55%-80% and found that both the localization length and CB can be tuned. From the localization length and using confinement effect, we estimate tunable band gap of RGO sheets with varying carbon sp2 fraction.
9:00 AM - RR7
RR7.31 Moved to Thursday Poster Session
Show AbstractRR4: Other 2D Materials Synthesis
Session Chairs
Tuesday AM, December 03, 2013
Sheraton, 2nd Floor, Constitution A
9:30 AM - RR4.01
Growth of Few Layer Hexagonal Boron Nitride via Low Temperature Pulsed Laser Deposition
Nicholas Glavin 1 2 Michael Check 1 Andrey Voevodin 1 Jamie Gengler 1 Timothy Fisher 2
1Air Force Research Laboratory Wright-Patterson AFB USA2Purdue University West Lafayette USA
Show AbstractTwo dimensional hexagonal boron nitride (h-BN) is a unique dielectric material that can be utilized in configurations with other two-dimensional materials for next generation electronic systems. Structurally, h-BN is very similar to graphene, with a lattice mismatch of 1.7%, but differs in that it exhibits a large band gap. This two dimensional material also possesses key advantages over other dielectric materials by providing an atomically smooth surface, lack of dangling bonds, and minimal sites for absorbed surface impurities. Testing of h-BN in graphene devices has been limited to exfoliation techniques or high temperature CVD growth, with both methods having difficulty creating highly crystalline, few layer, large area sheets with large grain sizes. In this study, few layer h-BN was grown using pulsed laser deposition (PLD) techniques at temperatures much lower than typical CVD methods for use in flexible electronic applications and other configurations that require low temperature growth.
9:45 AM - RR4.02
Synthesis and Properties of Selenium-Based Atomic Layers
Paul Browning 1 Joshua Robinson 1 Yu-Chuan Lin 1 Sarah Eichfeld 1 Jie Li 1 Scott Levin 1 Chia-Hui Lee 1 Kehao Zhang 1 Lorraine Hossain 1 Theresa Mayer 1
1Penn State University State College USA
Show AbstractThe scaling of digital architectures requires the introduction of new material and device concepts that improve performance and minimize power consumption. The isolation of graphene has led to the promise of novel “beyond CMOS” possibilities in atomic layered materials, and triggered fundamental research on 2-dimensional (or atom-thick) systems including transition-metal dichalcogenides (TMDs) in the form of MeX2 (where Me = a transition metal such as Mo, W, Ti, Nb, etc. and X = S, Se, or Te), and hexagonal boron nitride (hBN). Atomic-layer TMDs have attracted increasing attention due their many intriguing properties, including extreme flexibility, possession of tunable band gaps, modest electron mobilities, and wide variety of band-offsets. The ability to grow high quality, large area TMDs is important for the realization of electronics requiring semiconductor layers with tailored electronic band alignments, such as tunnel field-effect transistors. This work will present some of the first studies in the synthesis and characterization of two selenium-based compounds: tungsten diselenide (WSe2) and zirconium diselenide (ZrSe2), which exhibit staggered band gaps ideal for the development of tunnel field effect transistors.
In this study we investigate two approaches to achieving high quality WSe2 and ZrSe2: 1) a hybrid physical chemical vapor deposition (HPCVD) technique utilizing the decomposition of dimethylselenide (DiMSe), and 2) the thermal decomposition of Se pellets to selenide WO3 and ZrO2 films. The utilization of selenium pellets yields high quality, stoichiometric WSe2 and ZrSe2. Raman spectroscopy and transmission electron microscopy also indicates that crystalline quality is closely tied with synthesis temperature, selenium and hydrogen partial pressure, post synthesis anneal treatment, and substrate choice. High quality atomic layered films are also significantly impacted by the thermal treatment of the starting oxide. In the case of WO3, thermal treatments lead to a phase transformation from amorphous to a mixed monoclinic and hexagonal phase, which dictates the final crystal size of WSe2. Additionally, the utilization of hydrogen has been shown to promote synthesis, however H2 can also yield low quality, nanocrystalline films if the concentration is not carefully controlled below H2/Ar ratios of 1:5. The decomposition of DiMSe at temperatures >700C provides an additional route high quality film properties. In this case, the utilization of H2 requires higher partial pressure of Se, and also leads to increased carbon contamination due to addition methyl groups during the DiMSe decomposition. We are also developing fabrication techniques needed to produce device structures to investigate charge carrier transport properties of these novel materials. Finally, we will propose and demonstrate routes to heterogeneously integrate WSe2/ZrSe2 for tunnel transistor applications.
10:00 AM - *RR4.03
Synthesis of Monolayer Transition Metal Dichalcogenides by CVD
Jing-Kai Huang 1 Yu-Te Hsu 1 Lain-Jong Li 1
1Academia Sinica Taipei Taiwan
Show AbstractThe transistors fabricated with the molybdenum disulfide (MoS2) atomic thin layers exhibit excellent on/off current ratio and high carrier mobility, which make them suitable for next generation transistors. Their direct-gap property suitable for optoelectronics and energy harvesting are also attractive. However, the synthetic approach to obtain high quality and large-area MoS2 or WSe2 atomic thin layers is still challenging. We report that large-area monolayer of MoS2, WSe2, and MoSe2 can be synthesized directly on arbitrary insulating substrates with CVD method using corresponding metal trioxides and S or Se powders as the reactants.[1,2] These high quality crystalline monolayers are promising for electronics and optoelectronics.[3]
References
[1] Y.-H. Lee, et al. Adv. Mater. 24, 2320 (2012)
[2] J.-K. Huang et al. arXiv:1304.7365
[3] W. Zhang et al. arXiv:1302.1230
10:30 AM - *RR4.04
Growth of Metal Dichalcogenides Monolayer with Aromatic Seeds
Yi-Hsien Lee 1 2 Xi Ling 2 Mildred Dresselhaus 2 3 Jing Kong 2
1National Tsing-Hua University Hsinchu Taiwan2MIT Boston USA3MIT Boston USA
Show AbstractRecently, monolayers of layered transition metal dichalcogenides (TMD), such as MX2 (M=Mo, W and X=S, Se), have been reported to exhibit significant spin-valley coupling and optoelectronic performances because of the unique structural symmetry and band structures. Monolayers in this class of materials offered a burgeoning field in fundamental physics, energy harvesting, electronics and optoelectronics. However, most studies to date are hindered by great challenges on the synthesis and transfer of high quality TMD monolayers. Hence, a feasible synthetic process to overcome the challenges is essential. Here, we demonstrate the growth of high-quality TMD monolayers using chemical vapor deposition (CVD) with the seeding of aromatic molecules. The growth of monolayer TMD single crystals is achieved on various surfaces and the unique growth behavior has been discussed. We also demonstrate a robust technique in transferring the TMD monolayers to diverse surfaces, which may stimulate the progress on the class of materials and open a new route toward the synthesis of various novel hybrid structures with TMD monolayer and functional materials.
11:30 AM - RR4.05
Synthesis of Edge-Terminated Layered Chalcogenides
Desheng Kong 1 Haotian Wang 2 Judy J Cha 1 Lili Cai 3 Mauro Pasta 1 Kristie J Koski 1 Jie Yao 1 Xiaoling Zheng 3 Yi Cui 1 4
1Stanford University Stanford USA2Stanford University Stanford USA3Stanford University Stanford USA4SLAC National Accelerator Laboratory Menlo Park USA
Show AbstractLayered materials consist of molecular layers stacked together by weak interlayer interactions. They often crystallize to form atomically smooth thin films, nanotubes, and platelet or fullerene-like nanoparticles due to the anisotropic bonding. Structures that predominately expose edges of the layers exhibit high surface energy and are often considered unstable. Here, we present a general synthesis process to grow thin films and nanostructures of MoS2, MoSe2, and WS2 with edge-terminated surfaces [1-4]. The unique edge-terminated structure promises diverse possible applications. As the edges are often the active sites to drive catalytic reactions, the structure serves as attractive catalyst by maximally exposing the active sites on the surface. In addition, edge-terminated surfaces allow easy access to van der Waals gaps between the molecular layers, which is therefore favorable for battery applications. In experiment, we developed highly active and stable electrocatalyst for hydrogen evolution reaction (HER) based on edge-terminiated MoSe2.
[1] D Kong, H Wang, JJ Cha, M Pasta, KJ Koski, J Yao, Y Cui, Synthesis of MoS2 and MoSe2 Films with Vertically Aligned Layers, Nano Letters 13, 1341 (2013).
[2] Making films on the edge, Nature 496, 9 (2013).
[3] H Wang, D Kong, P Johanes, JJ Cha, G Zheng, N Liu, and Y Cui, Synthesis of MoSe2 and WSe2 Films with Vertically Aligned Molecular Layers on Curved and Rough Surface, submitted
[4] D Kong, L Cai, JJ Cha, H Wang, X Zheng, Y Cui, Synthesis of edge-terminated nanostructures of layered chalcogenides, In preparation
11:45 AM - RR4.06
Texture Control for Layered Structure WSe2 by Post-Selenization
Pei Chen Wu 1 Chih-Huang Lai 1 Chien-Neng Liao 1
1National Tsing Hua University Hsinchu Taiwan
Show AbstractWSe2 is one of layered materials which consist of molecular layers stacked together by weak van der waals&’ force. By controlling the texture of WSe2, layered materials may behave quite different properties along various orientations. We first deposit 300 nm W films at various working pressure and performed post-selenization to obtain WSe2 thin films. The alpha phase of W can be obtained at low working pressure with a dense structure; while working pressure is increased to 20 m Torr, the W films become the beta phase with a porous structure. The electrical resistivity increases continuously with increasing the working pressure. The transition from the alpha to beta tungsten phase is attributed to the presence of oxygen by increasing the working pressure, leading to the change in morphology and resistivity. The preferred orientation of WSe2 phase is formed during the post-selenization process and is determined by the different precursor of tungsten phase. C axis-oriented WSe2 texture is obtained after post-selenization at 450°C and 500°C with the precursor of alpha W. On the other hand, the WSe2 with c axis parallel to the substrate can be formed by using the beta tungsten. The resistivity, carrier concentration and the mobility of WSe2, measured by Hall effect, are 1.58*102 Omega;cm, 4.55*1014 (/cm3) and 8.67*101 (cm2/Vs) for WSe2 with c axis perpendicular to the substrate and 7.8*102Omega;cm, 9.1*1013 (/cm3)and 8.74*101(cm2/Vs) for WSe2 with c axis parallel to the substrate .
12:00 PM - *RR4.07
Exploring the 2D Atomic Layer Structure Landscape
Pulickel M Ajayan 1 Liu Zheng 2
1Rice University Houston USA2Nanyang Technological University Singapore Singapore
Show AbstractRecent times have seen a surge of activity in the exploration of two dimensional atomic layered structures with a range of properties. In addition to graphene and hexagonal boron nitride, more complex metal dichalcogenide structures have been considered for a range of electronic properties. Here we will discuss our recent efforts in exploring various dichalcogenide systems, doped structures and hybrid atomic layers consisting of multiple compositions. Scalable synthesis through vapor deposition of several of these structures will be discussed. Understanding of defects such as grain boundaries, edges and point defects in these structures is important for manipulating physical properties in these materials. Our efforts in manipulating these layers into creating vertically stacked hybrids as well as laterally engineered layers will be described. Overall, the talk will summarize our recent progress in synthesizing and characterizing atomically engineered layers of materials with a wide range of properties.
12:30 PM - RR4.08
Vapor Phase Growth of Molybdenum Disulfide Atomic Layers and Investigation of the Role of Grain Boundaries on Electrical Transport Behaviors
Sina Najmaei 1 Zheng Liu 1 Matin Amani 2 Wu Zhou 3 4 Matthew L Chin 2 Xiaolong Zou 1 Anthony Glen Birdwell 2 Gang Shi 1 Sidong Lei 1 Boris I. Yakobson 1 Juan-Carlos Idrobo 4 Madan Dubey 2 Pulickel M. Ajayan 1 Jun Lou 1
1Rice University Houston USA2US Army Research Laboratory Adelphi USA3Vanderbilt University Nashville USA4Oak Ridge National Laboratory Oak Ridge USA
Show AbstractMonolayer molybdenum disulphide (MoS2) with a direct bandgap is a promising two-dimensional material that goes beyond graphene for the next generation of nanoelectronics. Here, we report the controlled vapour phase synthesis of molybdenum disulphide atomic layers and elucidate a fundamental mechanism for the nucleation, growth, and grain boundary formation in its crystalline monolayers [1, 2]. Furthermore, a nucleation-controlled strategy is established to systematically promote the formation of large-area, single- and few-layered films. We demonstrate that the large-area growth of molybdenum disulphide entails an inevitable poly-crystallinity rendering the necessity to understand the role of grain boundary on its structural and transport properties.
Using electron microscopy, the atomic structure and morphology of the grains and their boundaries in the polycrystalline molybdenum disulphide atomic layers are examined. Furthermore, the individual and collective roles of grain boundaries on the transport properties of molybdenum disulphide are evaluated. Field effect characteristics of devices made on samples with individual and distributed grain boundaries are examined. The results on individual grain boundaries show that only tilt boundaries have a significant effect on the transport properties. We also demonstrate that scattering mechanisms of distributed grain boundaries in MoS2 have an average effect of reducing the charge carrier mobilities by half and reducing the on-off ratio by one order of magnitude.
12:45 PM - RR4.09
The Role of Seed in the Chemical Vapor Deposition of MoS2 Monolayer
Xi Ling 1 Yi-Hsien Lee 1 Jing Kong 1 Mildred Dresselhaus 1
1Massachusetts Institute of Technology Cambridge USA
Show AbstractThe synthesis of monolayer MoS2-based dichalcogenides is an attractive topic because of their promising properties in diverse fields, especially in electronics and optoelectronic. Among the various methods to get the monolayer MoS2, the chemical vapor deposition (CVD) method is considered as the superlative one because of the high efficient, low cost and large-area synthesis. So far, sulfur and MoO3 are the widely used precursors to grow monolayer MoS2 on the SiO2/Si substrate. Here, by loading the organic aromatic molecule on the SiO2/Si substrate as seed, it was found that the large-area and high quality MoS2 can grow out under a much soft condition, such as atmospheric pressure, lowing the temperature from 800 °C or higher to 650 °C. Raman spectra, photoluminescence spectra and AFM (atomic force microscopy) are used to identify the thickness and quality of MoS2. To further investigate the role of seed in the MoS2 growth, a SiO2/Si substrate with condense PTAS (perylene 3,4,9,10-tetracarboxylic acid tetrapotassium salt) seed was used as promoter, which can diffuse to the nearby SiO2/Si substrate under the growth temperature. Thus, the concentration distribution of the PTAS seed is gradual changed from high to low along the nearby SiO2/Si substrate. It is found that the triangular domain size of the MoS2 is very small when the concentration of the PTAS seed is too large or too small, because the equal activity of the nearby sites limits the further growth of the domain, but is tend to form more nucleation centers. Only at a certain concentration range, the large MoS2 domain can be obtained (~30 mu;m). Meanwhile, the nucleation sites are too many for both too high and too low seed concentration because there is no obvious priority at some certain sites. The results strongly support the introduction of proper seed can decrease the nucleation energy and enhance the growth efficiency. Furthermore, other kinds of aromatic molecules are tried to use as a seed to grow MoS2. For some special applications, by choosing the proper seeds, the MoS2 can grow out on some certain substrates, such as gold, graphene and h-BN.
Symposium Organizers
Christos Dimitrakopoulos, University of Massachusetts Amherst
Alexander Tzalenchuk, National Physical Laboratory
Peter Sutter, Brookhaven National Laboratory
Ageeth A. Bol, Eindhoven University of Technology
Symposium Support
AIXTRON SE
Aldrich Materials Science
Bluestone Global Tech, Inc.
Graphene Laboratories Inc.
National Electrostatics Corp.
National Physical Laboratory
nPoint, Inc.
SPECS Surface Nano Analysis Inc.
WITec GmbH
RR13: Functionalization, Doping and Patterning of Graphene
Session Chairs
Thursday PM, December 05, 2013
Sheraton, 2nd Floor, Constitution A
2:30 AM - RR13.01
Chemistry at the Dirac Point of Graphene: Diels-Alder Approach to Reversible Band Gap Engineering and High Mobility Graphene Devices
Santanu Sarkar 1
1University of California Riverside Riverside USA
Show AbstractMost of the interesting physics of graphene results from the singular electronic band structure at the Dirac point, where the valence and conduction bands cross in momentum space.[1] We have recently shown that the unique zero-band-gap electronic structure of graphene at the Dirac point facilitates the basal plane chemistry including pericyclic reactions such as the band-gap-dependent Diels-Alder (DA) reaction,[2] although graphene is the thermochemical reference for carbon.[3]
The talk will focus on our recent discovery of a series of facile Diels-Alder reactions in which graphene can function either as a diene or a dienophile (dual nature).[2] Such Diels-Alder modification of graphene allows balanced functionalization (creation of a pair of new sp3 centers or divacancies) at both A and B graphene sublattices, allowing the fabrication of high mobility (1,000-6,000 cm2V-1s-1) single-layer graphene FET devices with acceptable on/off ratio. The chemistry is thermally reversible via retro-DA chemistry, offering us to reversibly engineer the graphene. I will argue to rationalize these findings of unusual Diels-Alder reactivity of graphene and its dual behavior as both the diene and dienophile by considerations of the frontier molecular orbital theory (FMO) and orbital symmetry. Inspection of the orbital symmetries of the degenerate pair of half-occupied band orbitals at the Dirac point confirms that with the appropriate orbital occupancies, both diene and dienophile reaction partners should undergo concerted DA reactions with graphene that are allowed based on the Woodward-Hoffmann principles of orbital symmetry.[2,4] Applications of this DA chemistry in producing soluble graphene (ink) and graphene thin films preparation will also be discussed.
References:
[1] (a) Castro Neto, A. H. et al. Rev. Mod. Phys., 81, 109 (2009). (b) Sarkar, S., Bekyarova, E., Haddon, R. C. Mater. Today, 15, 276 (2012).
[2] (a) Sarkar, S., Bekyarova, E., Niyogi, S., Haddon, R. C. J. Am. Chem. Soc., 133, 3324 (2011). (b) Sarkar, S., Bekyarova, E., Haddon, R. C. Acc. Chem. Res., 45, 673 (2012).
[3] (a) Sarkar, S., Bekyarova, E., Haddon, R. C. Angew. Chem. Int. Ed., 51, 4901 (2012). (b) Sarkar, S. et al. Adv. Mater. 25, 1131 (2013).
[4] (a) Bekyarova, E., Sarkar, S. et al. J. Phys. D: Appl. Phys., 45, 154009 (2012). (b) Bekyarova, E., Sarkar, S. et al. Acc. Chem. Res., 46, 65 (2013).
2:45 AM - RR13.02
Rapid, Extensive, and Reversible Chemical Hydrogenation and Electrophilic Functionalization of Single-Layer Graphene
Keith Whitener 1 Woo Lee 1 Jeremy Robinson 1 Paul Sheehan 1
1U.S. Naval Research Laboratory Washington USA
Show AbstractChemically modified graphenes greatly expand opportunities for graphene-related applications to electronics, chemistry, and biology. Here, we report rapid and extensive hydrogenation of graphene using the Birch reduction with standard chemical apparatus. CVD-grown graphene is immersed into lithium dissolved in liquid ammonia and the reaction quenched with water or ethanol. Raman and photoelectron spectroscopies show that the reaction saturates within a minute of immersion. Conductivity measurements show that this approach is far more effective at removing conductive pathways than exposure to atomic hydrogen plasma and that, after hydrogenation, essentially pristine graphene may be recovered with thermal annealing—a reversible 107 fold change in resistance. We further demonstrate that the Birch reduction allows novel graphene functionalization by quenching the highly nucleophilic lithium-graphene system with tributyltin chloride to obtain organotin-functionalized graphene. Finally, a room-temperature variant of this reaction, the Benkeser reduction, is demonstrated which uses ethylenediamine as the solvent instead of ammonia.
3:00 AM - RR13.03
Sub-100Ohm Iron Chloride-Doped CVD Graphene
Yi Song 1 Jing Kong 1
1MIT Cambridge USA
Show AbstractChemical doping has been shown effective method of reducing the sheet resistance of graphene. However, graphene with sheet resistance of less than 100Ohm per square has only been achieved using metallic wire networks or multiple layers. We present the results of our investigations into doping large area Chemical Vapor Deposition (CVD) graphene using Iron (III) Chloride (FeCl3). It is shown that FeCl3 doping can increase the carrier concentration of monolayer graphene to greater than 7x1013cm^-2 and decrease its sheet resistance from 300-700Ohm for pristine graphene to as low 72Ohm after doping (76-90% decrease). This value is, to the best of our knowledge, the lowest reported in literature so far for chemically doped graphene. Furthermore, we compare FeCl3 to several other common dopants: Gold (III) Chloride (AuCl3), Nitric Acid (HNO3), and TFSA ((CF3SO2)2NH). We show that compared to these dopants, FeCl3 can not only achieve better sheet resistance but also has other key advantages including better solvent stability and better heat stability. Thus, FeCl3-doped graphene has potential for usage as transparent conducting electrodes in organic and/or inorganic photovoltaics.
3:15 AM - RR13.04
Synthesis of Phosphorous-Doped Graphene by Chemical Vapor Deposition and Its GERS Effect
Anupama Ghosh 1 Ruitao Lv 1 Rodolfo Cruz-Silva 2 Nestor Perea Lopez 1 Ayse Berkdemir 1 Ana Laura Elias 1 Maria Monica Ballesteros Villarreal 1 Humberto Terrones 1 Mauricio Terrones 1 2 3
1The Pennsylvania State University University Park USA2Shinshu University Wakasato 4-17-1, Nagano-city 380-8553 Japan3The Pennsylvania State University University Park USA
Show AbstractAlthough theoretical calculations have demonstrated that phosphorous (P) doping could open the largest band gap on graphene and also enhance its toxic molecule sensing properties, little experimental progress has been achieved on the synthesis of P-doped graphene (PhG) so far. In this contribution, we will present our recent progress in the growth of large-area, monolayered PhG sheets by an ambient-pressure chemical vapor deposition (AP-CVD) route. Triphenylphosphine (TPP) dissolved in hexane was used as a phosphorous-carbon precursor. In particular, the effect of TPP concentrations in hexane has been systematically investigated by Raman spectroscopy. The strong D-band and a prominent D'-band in the Raman spectra of as-synthesized PhG samples confirms the occurrence of doping by P-substitution. The doped graphene sheets have also been characterized by high-resolution transmission electron microscopy (HRTEM) and X-ray photoelectron spectroscopy (XPS). In addition, the graphene-enhanced Raman scattering (GERS) effect of as-synthesized PhG sheets will be demonstrated by using different probing molecules.
3:30 AM - RR13.05
Fabrication of Freestanding Graphene Nanoribbon Network by Utilizing Laser Technology
Hai H. Van 1 2 Kaelyn Badura 1 Mei Zhang 1 2
1Florida State University Tallahassee USA2FAMU-FSU College of Engineering Tallahassee USA
Show AbstractGraphene Nanoribbons (GNRs) retain graphene&’s high carrier mobility while presenting a finite band gap due to their small dimensions and active electronic edge states. These properties make GNRs very valuable materials for the building of nanodevices. Since CNTs are cylindrical shells made, in concept, by rolling graphene sheets into a seamless cylinder, the unzipping of CNTs is a very promising approach for GNR controlled and large-scale production. Unzipping CNTs has been practiced in many different ways. However, those chemical and physical methods use strong acids, oxidizing agents, or other solvents. Such wet-processes alter the properties of GNRs because of a high proportion of oxygen functionalities or particles and cause problems in device fabrication process because of wrinkles and folding of GNRs as well as positioning issues. We developed a solid-state process. We produce GNRs by utilizing laser irradiation to unzip the CNTs in a freestanding ultra-thin sheet. This approach is capable to fabricate long and pure GNRs in large scale and create controllable CNT-graphene intramolecular junctions. We investigated the effect of laser power, scan speed and scan pattern on CNT unzipping rate. We found that proper laser irradiations not only unzip CNTs, but also tailor the morphology of GNRs. The monolayer GNRs show high stability and the formation of the freestanding GNR 2D networks is observed.
3:45 AM - RR13.06
Correlated Catalytic Etching and Parallel Bi-Layer Graphene Nanoribbons
David Patrick Hunley 1 Abhishek Sundararajan 1 Tom Dodson 2 Stephen L. Johnson 1 Douglas R. Strachan 1
1University of Kentucky Lexington USA2University of Pennsylvania Philadelphia USA
Show AbstractOne of the greatest challenges in developing nanoelectronics is controlling their fabrication with atomic precision. Graphene is an exciting new 2-dimensional carbon material with numerous fascinating fundamental properties. Due to its incredible mechanical, thermal and electronic properties, graphene has tremendous potential for use in a wide range of applications. While graphene typically does not have a band gap, lateral confinement in graphene, such as in nanoribbons, can induce one. And in these cases where the dimensions of graphene elements are reduced to the nanoscale, the atomic structure of graphene edges is expected to play an important role in its electrical and thermal transport. Catalytic etching of graphene shows promise in constructing graphene edges along specific crystallographic orientations and with an atomically precise edge structure.[1] By selecting parameters that facilitate and promote short range ordering during the etching of graphene, this method could have significant relevance to the emerging field of graphene nanoribbons and other electrical elements by producing atomically precise edges. We will present our recent experiments and simulations on the catalytic etching of graphene, and discuss the short-range and long-range order found in these interesting systems.[2] The short-range order can lead to multiple parallel graphene nanoribbons oriented along the same crystallographic directions in bi-layer graphene. The typical nanoribbons produced during correlated etching are several hundred nanometers in length and approximately 10 nm (or less) in width. We use electrostatic force microscopy to probe and determine the properties the resulting electrically isolated regions of these etched graphene nanostructures.
[1] Sujit S. Datta, Douglas R. Strachan, Samuel M. Khamis, A. T. Charlie Johnson. Nano Lett., 2008, 8 (7), pp 1912-1915
[2] D. Patrick Hunley, Stephen L. Johnson, Joseph K. Stieha, Abhishek Sundararajan, Aaron T. Meacham, Ilia N. Ivanov, and Douglas R. Strachan. ACS Nano, 2011, 5 (8), pp 6403-6409
RR14: Other 2D Materials - Devices I
Session Chairs
Thursday PM, December 05, 2013
Sheraton, 2nd Floor, Constitution A
4:30 AM - *RR14.01
Single-Layer MoS2 - 2D Devices and Circuits Beyond Graphene
Andras Kis 1
1EPFL Lausanne Switzerland
Show AbstractAfter quantum dots, nanotubes and nanowires, two-dimensional materials in the shape of sheets with atomic-scale thickness represent the newest addition to the diverse family of nanoscale materials . Single-layer molybdenum disulphide (MoS2), a direct-gap semiconductor is a typical example of new graphene-like materials that can be produced using the adhesive-tape based cleavage technique originally developed for graphene. The presence of a band gap in MoS2 allowed us to fabricate transistors that can be turned off and operate with negligible leakage currents [1]. Furthermore, our transistors can be used to build simple integrated circuits capable of performing logic operations and amplifying small signals [2] [3].
I will report here on high-performance 2D MoS2 transistors with increased currents and transconductance due to enhanced electrostatic control [4]. Our devices also show current saturation for the first time in a 2D semiconductor. Electrical breakdown measurements of our devices show that MoS2 can support very high current densities, exceeding the current carrying capacity of copper by a factor of fifty. We have also successfully integrated graphene with MoS2 into heterostructures to form flash memory cells [5]. Next, I will show optoelectronic devices based on MoS2 that have a sensitivity surpassing that of similar graphene devices by several orders of magnitude [6]. Finally, I will present temperature-dependent electrical transport and mobility measurements that show clear mobility enhancement due to the suppression of the influence of charge impurities with the deposition of an HfO2 capping layer [7].
References
[1] Q. H. Wang et al., Nature Nanotech. 2012, 7, 699.
[2] B. Radisavljevic et al., Nature Nanotech. 2011, 6, 147.
[3] B. Radisavljevic, M. B. Whitwick and A. Kis, ACS Nano, 2011, 5, 9934.
[4] B. Radisavljevic, M. B. Whitwick and A. Kis, Appl. Phys. Lett. 2012, 101, 043103.
[5] D. Lembke and A. Kis, ACS Nano 2012, 6, 10070.
[6] S. Bertolazzi, D. Krasnozhon and A. Kis, ACS Nano 2013, 7, 3246-3252.
[7] O. Lopez-Sanchez et al., Nature Nanotech. 2013, doi: 10.1038/nnano.2013.100.
[8] B. Radisavljevic and A. Kis, Nature Materials 2013, doi: 10.1038/NMAT3687.
5:00 AM - RR14.02
Ultra-Flexible Metallic Nanowires with Self-Adaptive Ohmic Contacts to Transition-Metal Dichalcogenide Monolayers
Junhao Lin 1 2 Wu Zhou 2 Dhiraj Prasai 3 Kirill I Bolotin 1 Andrew R Lupini 2 Juan Carlos Idrobo 2 Dave Caudel 1 4 Arnold Burger 4 Nirmal J Ghimire 2 5 Jiaqiang Yan 5 6 David G Mandrus 2 5 6 Stephen J Pennycook 2 1 Sokrates Pantelides 1 2
1Vanderbilt University Nashville USA2Oak Ridge National Lab Oak Ridge USA3Vanderbilt University Nashville USA4Fisk University Nashville USA5University of Tennessee Knoxville USA6University of Tennessee Knoxville USA
Show AbstractThe family of semiconducting two-dimensional (2D) transition-metal dichalcogenides (TMDC) is a promising candidate for future flexible nanoelectronic applications, complementing or surpassing such applications based on graphene. Many prototype electronic and optoelectronic devices based on monolayer TMDC have been demonstrated. However, connecting multiple atom-thick nanoelectronic components with conducting wires in atomically-precise locations has remained an essential and unresolved step towards 2D fully integrated nanocircuits.
Here, we report a direct electron-beam sculpting of sub-nm-wide metallic nanowires seamlessly bonded to semiconducting TMDC monolayers at selected sites. The formation of the nanowires is a self-regulating and self-healing process that is insensitive to precise beam parameters. The continuous electron-beam-induced rotations and flexing of the nanowires without being torn apart indicate their excellent mechanical flexibility and their robust connections to the monolayers. The nanowire/TMDC junctions are self-adaptive in response to flexing and rotations of the nanowires. The observed mechanical behavior is explained by density-functional-theory calculations which further indicate that the metal-semiconductor contacts remain Ohmic to p-type TMDC monolayers as the nanowires rotate. The results show that the atomically-precise direct patterning of conducting nanowires in two-dimensional semiconducting materials via electron beams for flexible 2D integrated circuits becomes possible.
This research was supported in part by U.S. DOE grant DE-FG02-09ER46554 (JL, STP), a Wigner Fellowship through the Laboratory Directed Research and Development Program of Oak Ridge National Laboratory, managed by UT-Battelle, LLC, for the U. S. DOE (WZ), Oak Ridge National Laboratory's Shared Research Equipment (ShaRE) User Facility Program (JCI), which is sponsored by the Scientific User Facilities Division, Office of Basic Energy Sciences, U.S. DOE, the Office of Basic Energy Sciences, Materials Sciences and Engineering Division, U.S. DOE (ARL, SJP, STP) and through a user project supported by Oak Ridge National Laboratory&’s ShaRE User Facility. KIB and DP were supported by ONR N000141310299. This research used resources of the National Energy Research Scientific Computing Center, which is supported by the Office of Science of the US Department of Energy under Contract No.DE-AC02-05CH11231.
5:15 AM - RR14.03
Strain-Induced Bandgap Engineering in MoS2 Nanosheets
Shu Ping Lau 1 Yeung Yu Hui 1
1The Hong Kong Polytechnic University Hong Kong Hong Kong
Show AbstractStrain engineering is a powerful and widely used strategy for boosting the performance of electronic, optoelectronic and spintronic devices. By applying a strain through lattice mismatch between epitaxial films and substrates or through bending of films on elastic substrates, this strategy can be used to increase the carrier mobility in semiconductors or to lift the emission efficiency of light-emitting devices. articularly, due to reduced dimensions, nanostructures become more flexible to be highly strained, which provides more space for strain engineering. Although low-dimensional nanostructures are relatively flexible, the reported tunability of bandgap is within 100 meV per 1% strain. It is also challenging to control strains in atomically thin semiconductors precisely and monitor the optical and phonon properties simultaneously. We developed an electro-mechanical device that can apply biaxial compressive strain to monolayer and few layers MoS2 supported by a piezoelectric substrate and covered by a transparent graphene electrode. Photoluminescence and Raman characterizations show that the direct bandgap can be blue-shifted for ~300 meV per 1% strain. The exceptional high strain tunability of electronic structure in MoS2 promising a wide range of applications in functional nanodevices and the developed methodology should be generally applicable for two-dimensional semiconductors.
5:30 AM - RR14.04
Tuning the Electronic and Chemical Properties of Monolayer MoS2 Adsorbed on Transition Metal Substrates
Wei Chen 1 2 3 Elton Santos 3 Wenguang Zhu 2 1 Efthimios Kaxiras 3 Zhenyu Zhang 2 3
1University of Tennessee Knoxville USA2University of Science and Technology of China Hefei China3Harvard University Cambridge USA
Show AbstractUsing first-principles calculations within density functional theory, we investigate the electronic and chemical properties of a single-layer MoS2 adsorbed on Ir(111), Pd(111), or Ru(0001), three representative transition metal substrates having varying work functions but each with minimal lattice mismatch with the MoS2 overlayer. We find that for each of the metal substrates, the contact nature is of Schottky type, and the dependence of the barrier height on the work function exhibits a partial Fermi-level pinning picture. Using hydrogen adsorption as a testing example, we further demonstrate that the introduction of a metal substrate can substantially alter the chemical reactivity of the adsorbed MoS2 layer. The enhanced binding of hydrogen, by as much as about 0.4 eV, is attributed in part to a stronger H-S coupling enabled by the transferred charge from the substrate to the MoS2 overlayer, and in part to a stronger MoS2-metal interface by the hydrogen adsorption. These findings may prove to be instrumental in future design of MoS2-based electronics, as well as in exploring novel catalysts for hydrogen production and related chemical processes.
5:45 AM - RR14.05
Monolayered MoS2 Field Effect Transistors with Ohmic Metallic 1T Phase Contacts
Rajesh Kappera 1 2 Damien Voiry 1 Hisato Yamaguchi 2 Gautam Gupta 2 Aditya Mohite 2 Manish Chhowalla 1
1Rutgers University Piscataway USA2Los Alamos National laboratory Los Alamos USA
Show AbstractA concern for monolayer MoS2 transistors is the large barrier between source-drain electrodes and the channel. Metals of varying work functions have been utilized [1] to reduce the Schottky barrier height. Doping has also been employed to reduce the contact resistance [2]. However, ohmic contacts have eluded researchers resulting in Fermi level pinning that gives rise to mostly n-type devices [1-4]. To lower the barrier, we have developed a method to contact the semiconducting 2H-MoS2 channel with metallic 1T phase MoS2 electrodes. We have developed a chemical method to reversibly transition the 1T and 2H phases of MoS2 [5]. Here we describe the electronic characteristics of hybrid monolayered MoS2 devices with 1T phase metallic contacts and semiconducting channel. Higher on/off ratios, reduced contact resistances and higher mobilities were obtained for bottom gated field effect transistors. Material synthesis, structural, optical and electrical characterization results will be discussed.
References:
1. S. Das, H.Y. Chen, A.V. Penumatcha, and J. Appenzeller, "High Performance Multilayer MoS2 Transistors with Scandium Contacts", Nano Lett., 13, 100 (2013)
2. H. Fang, M. Tosun, G. Seol, T.C. Chang, K. Takei, J. Guo, and A. Javey, “Degenerate n-Doping of Few-Layer Transition Metal Dichalcogenides by Potassium", Nano Lett., 13, 1991 (2013)
3. B. Radisavljevic, A. Radenovic, J. Brivio, V. Giacometti & A. Kis, "Single-layer MoS2 transistors", Nature Nanotechnology 6, 147 (2011)
4. Y. Yoon , K. Ganapathi , and S. Salahuddin, "How Good Can Monolayer MoS2 Transistors Be?", Nano Lett., 11, 3768 (2011)
5. Goki Eda, Hisato Yamaguchi, Damien Voiry, Takeshi Fujita, Mingwei Chen, and Manish Chhowalla, "Photoluminescence from Chemically Exfoliated MoS2", Nano Lett., 11, 5111 (2011)
RR15: Poster Session II
Session Chairs
Christos Dimitrakopoulos
Alexander Tzalenchuk
Peter Sutter
Ageeth A. Bol
Thursday PM, December 05, 2013
Hynes, Level 1, Hall B
9:00 AM - RR15.01
Nondestructive Characterization of Graphene Defects
Thuc Hue Ly 1 Young Hee Lee 1 2
1Center for Integrated Nanostructure Physics,Institute of Basic Science, Sungkyunwan University Suwon Republic of Korea2BK21 Physics Division, Center for Nanotubes and Nanostructures Composites, Sungkyunkwan University Suwon Republic of Korea
Show AbstractWe report an effective method for oxidizing graphene/copper film in which air oxidation of the underlying copper film occurs through the grain boundary lines of graphene without oxidizing graphene. This was realized by partially immersing the graphene/copper film in sodium chloride solution. Electrons generated during etching of the graphene/copper film in electrolyte were diffused into the film in contact with air, which eventually enhanced air oxidation of copper through graphene layer. While the graphene layer acted as a protective layer against oxidation of the copper film, oxidation of underlying Cu film near graphene grain boundary lines was observed by optical microscopy. This could be attributed to the selective diffusion of oxygen radicals through isolated defects and graphene grain boundaries. This process involved no appreciable oxidation of the graphene layer including the graphene grain boundary, which was confirmed by detailed Raman and x-ray photoelectron spectroscopy.
9:00 AM - RR15.02
Facile Template-Free Preparation of Highly Porous Boron Nitrides for Hydrogen Storages
Qunhong Weng 1 2 Xuebin Wang 1 Yoshio Bando 1 Dmitri Golberg 1 2
1National Institute for Materials Sciences (NIMS) Tsukuba Japan2University of Tsukuba Tsukuba Japan
Show AbstractPorous boron nitride (BN) materials exhibit potential important applications in addressing global energy and environmental problems, especially in the areas of energy storage, pollutant sorption and water cleaning. Although both theoretical and experimental results have pointed out that BNs show higher H2 uptakes than their C analogues at the same experimental conditions due to their enhanced interactions, investigations regarding H2 sorption in the BN porous materials have been rather scarce compared to metal-organic frameworks (MOFs) and porous carbons. Since specific surface area (SSA) and pore volume are two fundamental factors that related to sorption capacities (both for H2 or pollutants), it is highly demanding to design and develop new porous BNs with higher SSAs and porosities to realize their practical appications in these fields.
We successfully synthesized highly porous BN materials (microbelt and microsponge-like) via a simple one-step template-free reaction of different precursors at ambient conditions. The obtained porous BN materials are featured by enlarged (0002) spacings, partically disordered BN phase and presence of abundant dislocation structures. The resultant textural parameters such as BET specific surface areas and pore volumes are easily tunable in a wide range by adjusting synthesis temperatures or compositions of precursors. Extra-high surface areas, up to 1900 m2 g-1, have been demonstrated for these microporous BN materials derived from different precursors. Compared to hard-template derived porous BNs, whose SSAs usually ranged from 100 to 900 m2 g-1, the present template-free approach has remarkably improved the SSAs as well as other textural properties of the received porous BN materials. It is verified that these microporous materials (microbelts and microsponges) display high and reversible H2 sorption capacities from 1.6 to 2.6 wt % at 1 MPa and 77 K. Generally, a higher SSA and pore volume favor a higher H2 sorption capacity of these porous BNs. We believe that the present work has provided a solid background for further designing and finding more effective and commercially applicable H2 storage materials based on nano- and/or micro-BN systems.
References:
(1) Weng, Q.; Wang, X.; Zhi, C.; Bando, Y.; Golberg, D. ACS Nano2013, 7, 1558.
(2) Weng, Q.; Wang, X.; Bando, Y.; Golberg, D. Unpublished work.
9:00 AM - RR15.04
Synthesis and Characterization of Exfoliated Graphite (EG) and to Use It as a Reinforcement in Zn-based Metal Matrix Composites.
Nasimul Alam Syed 1 Lailesh Kumar 2
1National Institute of Technology Rourkela Rourkela India2National Institute of Technology Rourkela Rourkela India
Show AbstractExpanded graphite is a form of intercalated graphite in which the carbon planes are moved apart resulting in expansion or swelling of the graphite. The chemical and physical properties of graphite also changes due to this. Intercalated graphite is formed by intentionally bonding atoms of different elements between the graphite layers. This in turn leads to the reduction of the density of graphite. Exfoliated graphite nanoplatelets (GNP) consists of several graphene sheets stacked together to a total thickness of 1-15 nm. The diameter of the platelets could range from submicrons to 100 microns.
Expandable graphite was prepared at room temperature by mixing 16 ml of sulfuric acid(98%) and 1.5 ml hydrogen peroxide(30%) with 6 gm of natural flake graphite (60 mesh) having 98% purity. The mixture was placed for 1 h 30 min in a magnetic stirrer. The mixture which was prepared after stirring was washed with water to achieve pH in the range of 5-7. The prepared mixture was then dried at 60oC for 30 h. Finally the resulting graphite preparation procedure of expanded graphite compound was subjected to thermal shock at 1000oC for 30 sec in muffle furnace, resulting in the formation of expanded graphite. The characterization techniques like scanning electron microscope (SEM), high resolution transmission electron microscope (HRTEM), X-ray diffraction (XRD), differential scanning calorimeter and differential thermal analysis (DSC/DTA), universal testing machine (UTM), Vickers hardness, elemental analysis and spectroscopic analysis like Fourier transform infrared spectroscopy (FTIR) and Raman spectroscopy will be used. Texture study of the samples will also be done.
The expanded graphite looks like sheets of paper and seem to be held together at the edges. The sheets of graphene in expanded graphite are bonded by weak van der Waals forces. High magnification SEM images show how they are connected. Increased exfoliation in expanded graphite led to a remarkable reduction in the peak intensity of the X-ray peaks of expanded graphite.
In the FTIR analysis smaller particles of expanded graphite, as they are separated, leads to less broad peaks and slanted baselines. Broader peaks indicate increase in interaction with the light wave. High-power sonication will be used in order to break apart the expanded graphite and thereby reduce its thickness.
Natural graphite flakes expanded by intercalation and exfoliation will be used as an additive for making Zn-based composites. The graphite nanosheets in the exfoliated graphite will be dispersed in the Zn matrix. Effort will be made to determine if the exfoliated graphite retained its original shape in the Zn metal matrix during solidification. The effect of addition of EG on the properties of Zn will be investigated.
9:00 AM - RR15.05
Electrochemical Functionalization in Wavefunction Engineering of Epitaxial Graphene
Santanu Sarkar 1
1University of California Riverside Riverside USA
Show AbstractThe development of graphene chemistry for the modification of the electronic and magnetic structure of graphene has emerged as a promising approach.[1] In this poster, I will discuss three electrochemical methods to functionalize epitaxial graphene (EG): (1) aryl radical addition to EG: from diazonium precursors, (2) electro-oxidation of EG wafers, and (3) Kolbe reaction: electro-oxidation of α-naphthylacetates on graphene and electro-erasing.
I will present how the application of nitrophenyl radical addition (diazonium chemistry - method - 1) has rendered graphene with a room-temperature ferromagnetism and a band-gap of 0.36 eV (measured by ARPES).[2] This carbon-carbon bond formation chemistry leads to the creation of new sp3 centers on graphene. On the other hand, the electro-oxidation (EO) of EG (method - 2), although could not open a band-gap, shows a strong photoresponse in the EO-EG.[3] Kolbe reaction (method - 3),[1] which in the present case, leads to the generation of α-naphthylmethyl radicals (which are more stable than nitrophenyl radicals), allows reversible grafting of radicals to graphene surface; the electro-erasing of the functional groups leads to graphene at its nearly pristine state.[1] The surface coverage can be controlled from densely-packed (ideal as organic dielectrics) to sparsely functionalized surface (ideal for introducing reasonable band gap in graphene) with well-ordered structural patterning of the functional groups on EG surface by fine adjustment of electrochemical conditions.[1] Such a control of the layer structure and packing of the functional groups over the graphene surface is an essential issue in the development of graphene chemistry.[1,4]
References:
[1] Sarkar, S., Bekyarova, E., Haddon, R. C. Angew. Chem. Int. Ed., 51, 4901 (2012).
[2] (a) Bekyarova, E. et al. J. Am. Chem. Soc., 131, 1336 (2009). (b) Hong, J. et al. Small, 7, 1175 (2011). (c) Niyogi, S. et al. Nano Lett. 10, 4061 (2010).
[3] (a) Ramesh, P. et al. J. Am. Chem. Soc. 132, 14429 (2010). (b) Itkis, M. et al. Appl. Phys. Lett., 98, 093115 (2011).
[4] Sarkar, S., Bekyarova, E., Haddon, R. C. Mater. Today, 15, 276 (2012).
9:00 AM - RR15.06
Transferred Wrinkled Al2O3 for Highly Stretchable and Transparent Graphene-Carbon Nanotube Transistors
Sang Hoon Chae 1 2 Young Hee Lee 1 2
1Sungkyunkwan University Suwon Republic of Korea2Sungkyunkwan University Suwon Republic of Korea
Show AbstractDespite recent progress in producing transparent and bendable thin-film transistors using graphene and carbon nanotubes1, 2, the development of stretchable devices remains limited either by fragile inorganic oxides or polymer dielectrics with high leakage current3, 4. Therefore, to maximize the performance of the oxide without compromising the ability to stretch and bend, we propose a new approach for preparing a wrinkled gate dielectric using a transfer method. Here we report the fabrication of highly stretchable and transparent field effect transistors combining graphene and single-walled carbon nanotube (SWCNT) electrodes and a SWCNT network channel with a geometrically wrinkled inorganic dielectric layer. The wrinkled Al2O3 layer contained effective built-in air gaps with a small gate leakage current of ~10-13A. The resulting devices exhibited an excellent on/off ratio of ~100000, a high mobility of ~40cm2V-1s-1 and a low operating voltage of less than 1V. Importantly, because of the wrinkled dielectric layer, the transistors retained performance under strains as high as 20% without appreciable leakage current increases or physical degradation. No significant performance loss was observed after stretching and releasing the devices for over 1,000 times. The sustainability and performance advances demonstrated here are promising for the adoption of stretchable electronics in a wide variety of future applications.
1. Cao, Q. et al, Adv. Mater. 18. (2006) 304-309
2. Yu, W. J. et al, Nano Lett. 11. (2011) 1344-1350
3. Moghal, J., Suttle, H., Assender, H., Surf. Coat. Technol. 206. (2012) 3309-3315
4. Schroeder, R., Majewski, L. A., Grell, M., Adv. Mater. 17. (2007) 1535-1539
9:00 AM - RR15.07
Growth and Characterization of Graphene Films Formed by Halogen Based Plasma Etching of 6H-Sic
Saurabh Chaudhari 1 Tobias J. Denig 1 Timothy C. Nelson 1 Srikanth Raghavan 2 Charter D. Stinespring 1
1West Virginia University Morgantown USA2West Virginia University Morgantown USA
Show AbstractThe preparation of graphene and the fabrication of graphene electrical devices have received considerable attention due to the remarkable properties of graphene. We have developed a novel method for producing graphene using halogen (CF4 and Cl2) based plasma etching to selectively remove Si from the near surface layers of 6H-SiC(0001) followed by relatively low temperature ultrahigh vacuum annealing (550oC - 970oC). The composition, structure, and thickness of the resulting films have been characterized using x-ray photoelectron spectroscopy, reflection high energy electron diffraction, Raman spectroscopy, and atomic force microscopy. The electrical properties have been characterized using current-voltage measurements. The results indicate that the films are epitaxial graphene with a thermally stable halogenated defect which buckles the surface. The defect concentration is dependent on annealing temperature. Films of one, two, and three layers are reproducibly formed by controlling the plasma parameters. Electrical measurements indicate that the films are semiconducting with a Schottky barrier height on the order of 0.5 eV and a carrier density and conductivity comparable to exfoliated graphene films of similar thickness. Adsorption and attachment studies suggest that the halogenated defect may play a useful role in the functionalization of the graphene surface with molecular species and nanoparticles. In a broader context, these results suggest that a surface chemical route to large area graphene-on-SiC films may be feasible. This may prove to be quite useful is applications were a chemically inert electrically insulating substrate with thermal management capabilities is required.
9:00 AM - RR15.08
Electronic Structures of Graphene with Defect Vacancies by Means of First-Principles Calculation
J. Sugimoto 1 K. Shintani 1
1University of Electro-Communications Chofu Japan
Show AbstractThe extraordinay electronic and mechanical properties of graphene lead to its possible applications in broad areas of nanoelectronics and nanomechanics. Since the pristine graphene is a zero-bandgap semiconductor, various methods of controlling its electronic energy band are devised. Introducing vacancy defects into graphene by ion irradiation is one of such trials. Saito et al. (2007) found the stability of graphene with vacancies depends on their size; graphene with vacancies of even numbers 2, 4, 6, and 8 is relatively more stable. Afterwards, Ugeda et al. (2012) showed that graphene with divacancies has a bandgap. However, there remain various unexamined structures of vacancy defects which are created by removing more than four atoms. Their stability and electronic properties are not yet fully understood. In this paper, we investigate all possible structures of vacancy defects where zero to six atoms are removed. For twenty-three kinds of models of graphene without and with defect vacancies, the first-principles calculations were performed using the VASP code based on the density functional theory. The projector augmented wave (PAW) potential derived under the generalized gradient approximation (GGA) is employed for exchange correlation terms. The cut-off energy for the wave function expressed in terms of plane wave bases is set 400 eV. The convergence criterion is that the energy difference in the iterations of self-consistent calculation reduces to less than 10^{-6} eV. The conjugate gradient energy minimization is adopted to seek the structures of the minimum energies. The Monkhorst-Pack method is used for k point-sampling in the reciprocal lattice space; the k-point mesh of 3×3×1 is set in the reciprocal lattice spaces. The structures of the minimum formation energies are indentified among the models including defect vacancies missing 4, 5, and 6 atoms. It is found only a relaxed model with vacancy defects missing 2 atoms and one with vacancy defects missing 6 atoms are semiconducting. This suggests the defective models which exhibit the electronic properties of semiconductors have vacancy structures of mirror symmetries about two perpendicular planes and of no rotational symmetries of more than threefold.
9:00 AM - RR15.09
First-Principles Calculations of Graphene-like Nanomaterials
K. Mihara 1 K. Shintani 1
1University of Electro-Communications Chofu Japan
Show AbstractMotivated by the creation of single-layer graphene, single-atom-thick sheets of group IV elements were successively synthesized recently. Fleurence et al. (2012) succeeded to grow a single-atom-thick honeycomb layer of Si epitaxially on zirconium diboride thin films. They named such Si counterpart of graphene `silicene'. The epitaxial strain of silicene induces its buckling, which also brings about a direct pi-electronic band gap of silicene. Bianco et al. (2013) synthesized a hydrogen-terminated germanium multilayered graphane analogue `germanane', GeH, by topochemical deintercalation of CaGe_{2}. The optical properties of germanane investigated by diffuse reflectance absorption (DRA) suggest gemanane has a direct band gap of approximately 1.59 eV which agrees well with the result of their first-principles calculation 1.55 eV. We in this paper investigate the structural and electronic properties of graphene-like nanomaterials such as silicene and gemanane under tensile or shear strain. The first-principles calculations were performed using the VASP code based on the density functional theory. The projector augmented wave (PAW) potential derived under the generalized gradient approximation (GGA) is employed for exchange correlation terms. The conjugate gradient energy minimization is adopted to seek the structures of the minimum energies. The Monkhorst-Pack method is used for k point-sampling in the reciprocal lattice space. How the band gaps of silicene and germanane can be engineered by strains is examined.
9:00 AM - RR15.101
Water-Free Transfer Method for Large-Area Graphene and Its Applications for Making Air-Stable, High-Performance, Flexible Graphene Transistors
Hyunho Kim 1 Eunho Lee 1 Yoonyoung Chung 2 Seong Kyu Lee 1 Donghun Sin 1 Hyomin Ko 1 Mankyu Jo 1 Eun Joo Song 1 Kilwon Cho 1 2
1Pohang University of Science and Technology Pohang Republic of Korea2Pohang University of Science and Technology Pohang Republic of Korea
Show AbstractGraphene growth on a catalytic metal foil and its transfer to a target substrate is one of the most favorable approaches in making large-area graphene devices. However, general wet transfer method requires water, which limits the substrate to be water-insensitive. We introduce our novel water-free transfer for graphene and its applications to make air-stable, high-performance, and flexible graphene field-effect transistors (GFETs). The key of our transfer method is avoiding the contact of water to the substrate through direct transfer of dried suspended graphene layer; therefore, graphene can be transferred onto any substrate materials even though they are easily damaged or dissolved by water, such as water-sensitive inorganics, silk, and organic semiconductors.
We utilized this method to fabricate air-stable low-voltage GFETs on a flexible substrate. Remarkably, we found that a polymeric layer used in the transfer process efficiently protected graphene against ambient species and that this layer can be used to make air-stable graphene devices. The Dirac voltage and the field-effect mobility (mu;FET) of the GFETs with the polymeric layer did not change appreciably in air for more than 1 month, whereas the GFETs without the polymer showed significant degradation rapidly. In order to achieve low-voltage operation, nanometer-scale aluminum oxide (AlOx) made by atomic layer deposition (ALD) was used as the gate dielectric. When conventional wet-chemical transfer method is used, such thin AlOx is difficult to be used because AlOx is damaged by water. Our flexible GFETs showed high mu;FET of 1,575 and 772 cm2/Vs for holes and electrons, respectively, with a supply voltage of only 2 V in air.
9:00 AM - RR15.102
Control the Surface Plasmon Resonance Wavelength on Graphene Surface Using Metal Nanoparticles
Si Jin Park 1 Seong Jun Kang 1
1Kyung Hee University Yongin Republic of Korea
Show AbstractSilver nanoparticles were synthesized on a graphene surface to investigate the surface plasmon effect. Graphene films were synthesized on glass substrates by using a chemical vapor deposition method and transfer process. Various sizes of silver nanoparticles were formed using a thermal evaporator and a post-annealing process. The size of the silver nanoparticles was measured using a scanning electron microscope. The surface-plasmon resonance wavelength was measured using the absorbance spectrum, and we confirmed that the resonance wavelength could be controlled from 396 nm to 425 nm according to the size of the silver nanoparticles on the graphene surface. We confirmed a strong surface-plasmon resonance effect by using Raman spectroscopy. In addition, the surface-plasmon effect for graphene with aluminum was investigated in the same way, but the surface plasmon effect could not be observed. The results show that the surface-plasmon resonance wavelength of graphene films can be controlled by changing the size of the silver nanoparticles on the graphene surface.
9:00 AM - RR15.103
Control the Surface Work Function of Multilayer Graphene Transparent Electrode Using Metal Nanoparticles
Si Jin Park 1 Younjoo Lee 2 Yeonjin Yi 2 Seong Jun Kang 1
1Kyung Hee University Yongin Republic of Korea2Yonsei University Seoul Republic of Korea
Show AbstractWe report a practical method to control the effective work functions of multilayer graphene (MLG) films for transparent anode and cathode. MLG films were prepared using a chemical vapor deposition and transfer process. The effective work functions of MLG films covered with different amounts of aluminum (Al) and silver (Ag) nanoparticles were measured using ultraviolet photoelectron spectroscopy (UPS). The formation of Al and Ag nanoparticles on the MLG surface was investigated using scanning electron microcopy. The sheet resistance and transmittance of the MLG films were also measured with different amounts of Al and Ag nanoparticles on the MLG surfaces. The UPS measurements revealed that the effective work function of an MLG film could be controlled from 3.77 to 4.55 eV by adding Al or Ag nanoparticles onto the MLG surface with minimal changes in the sheet resistance and transmittance. The results would provide a promising method to realize transparent optoelectronics, where MLG electrodes could be used as an anode and cathode simultaneously.
9:00 AM - RR15.104
Energy Dissipation in Graphene Resonators
Makoto Takamura 1 Hajime Okamoto 1 Kazuaki Furukawa 1 Shinichi Tanabe 1 Hiroshi Yamaguchi 1 Hiroki Hibino 1
1NTT Basic Research Laboratories Atsugi, Kanagawa Japan
Show AbstractGraphene has been attracting attention as an ideal material for use in nanoelectromechanical resonators because of its low mass density and exceptional mechanical properties. For example, recent study has reported that suspended graphene resonators have high quality factor of Q > 104 at cryogenic temperatures, leading to the highly-sensitive mass detection at 10-21 g level [1]. However, it has also been reported that Q drastically decreases with increasing temperature [1, 2] and low Q at room temperature (typically, sim;102) limits the practical sensing application of graphene resonators. To create graphene resonators with high Q at room temperature, it is important to clarify the dominant source of the energy dissipation (Q-1).
Recently, it has been shown that free edges of graphene resonators could cause the energy dissipation [3]. In this study, thus, to clarify how edges affect Q, we evaluated the temperature (T) dependence of Q for doubly clamped and drum-shaped resonators, which respectively have free edges and no free edges, and discussed their dissipation mechanisms. The doubly clamped resonators were fabricated from graphene grown on SiC(0001) by electrochemical etching combined with hydrogen intercalation [4]. The drum-shaped resonators were fabricated from chemical-vapor-deposition (CVD) grown graphene by transferring it over circle holes on SiO2/Si. For both samples, the mechanical vibration induced by a piezo actuator was detected with an optical interferometer.
For doubly clamped graphene resonators, we observed T-dependence of Q-1 as T0.4 when T < 70 K and T2 when 70 < T < 300 K. Similar dependence has been reported for exfoliated and CVD-grown graphene resonators [1, 2]. On the other hand, for drum-shaped resonators, we found that Q-1 is proportional to T0.9 when 80 < T < 300 K. The slower T dependence of the drum-shaped resonator leads us to expect that higher Q could be obtained at room temperature in graphene resonators without free edges. As for the energy dissipation mechanisms, the low temperature dependence (T0.4 ) of the doubly clamped graphene resonator is consistent with the theoretically estimated energy dissipation coming from the spurious edge modes (T0.28) [3]. The T0.9 dependence in the drum-shaped resonator also shows good agreement with the theoretical simulation (Q-1prop;T) [3] in which the periodic boundary condition was taken into account. However, the origin of the high temperature T2 dependence of the doubly clamped graphene resonator has not been clarified, and we are investigating the possible mechanisms by analyzing vibrational properties of graphene resonators based on a finite element method.
1 C. Chen et al., Nat. Nanotechnol. 4, 861 (2009).
2 A. M. van der Zande et al., Nano Lett. 10, 4869 (2010).
3 J.-W. Jiang and J.-S.Wang, J. Appl. Phys. 111, 054314 (2011).
4 M. Takamura et al., Jpn. J. Appl. Phys. 52, 04CH01 (2013).
9:00 AM - RR15.105
Intrinsic Mobility in Few-Layered MoSe2 Field-Effect Transistors on SiO2 Substrate
Nihar Ranjan Pradhan 1 Daniel Rhodes 1 Luis Balicas 1
1National High Magnetic Field Laboratory Tallahassee USA
Show AbstractSingle and few layer transition metal dichalcogenide such as MoS2, MoSe2, WS2, WSe2 etc. have band gaps ranging between 1 to 2 eV, showing potential as candidates for future electronic and optoelectronic applications. Among these MoS2 has been the most extensively investigated studied so far. Here, we will present measurements of the intrinsic mobility on mechanically exfoliated few layers MoSe2 field-effect transistors on SiO2 substrate. We measured the field effect behavior in two- and four- terminal configuration using the Si substrate as the back gate to control the carrier density. We will also discuss how the different metal contacts play a role on the extracted mobility.
9:00 AM - RR15.107
Effect of Molecular Doping and Doping Location in Graphene Field-Effect Transistors
Eun Kwang Lee 1 Cheol Hee Park 1 Joon Hak Oh 1
1Ulsan National Institute of Science and Technology Ulsan Republic of Korea
Show AbstractReliable molecular doping on graphene field-effect transistors was carried out using an organic dye molecule as the n-type dopant and an organic dopant with strong electron affinity as the p-type dopant. Both molecular dopants enabled significant enhancement of performance in graphene field-effect transistors. In addition, the effect of doping location of n-type and p-type dopants was investigated by introducing the dopant layer either at the top or at the bottom of graphene active layer. The results showed that the doping location and the order of doping process on graphene are important factors for the electrical properties of graphene. Moreover, we observed that the n-type dopant can lose its n-type doping effect and even serve as p-type dopant under a modified condition. Our results provide insight into the strategies to selectively tune and enhance the performance of graphene field-effect transistors utilizing molecular doping concept.
9:00 AM - RR15.108
Gas Phase Synthesis of Two-Dimensional Size Selected Pd Nanoparticles/Graphene Composites
Rakesh Kumar 1 Bodh Raj Mehta 1
1Indian Institute of Technology Delhi New Delhi India
Show AbstractIn recent, graphene is emerging as a promising material due to its excellent electrical, mechanical, thermal, and optical properties. Properties of graphene can also be further improved by using graphene based composite materials. In particular, metal nanoparticle based graphene composites show attractive properties in sensing, photocatalysis, and photo-energy conversion. In this work, a simple and efficient gas phase synthesis way was used to synthesize the composites of graphene and size selected Palladium (Pd) nanoparticles. Well dispersed Pd nanoparticles with crystalline, spherical and well-defined sizes have been directly deposited onto the graphene sheets prepared by using chemical routes. A gas phase synthesis setup is consisting of (i) a spark generator (GFG1000, PALAS Gmbh, Germany) for forming Pd agglomerates, (ii) a UV charger for charging the agglomerates, (iii) sintering furnace for changing the primary nano-agglomerates into compact spherical and monorystalline nanoparticles via in-flight sintering, and (iv) an electrostatic precipitator to deposit charged nanoparticles onto graphene. In the spark generator, primary Pd nanoparticles are produced by a discharge between the electrodes of Pd (99.95% pure) whose separation is automatically adjusted to be 2 mm by a step motor during the deposition. These primary nanoparticles agglomerate by coagulation in the process. In order to obtain crystalline spherical nanoparticles these agglomerates are in-flight sintered at high temperature.
Characterizations of Pd/Graphene composite structures have been carried out by using Glancing angle x-ray diffraction (GAXRD), Raman spectroscopy, high resolution transmission electron microscopy (HRTEM) and scanning electron microscopy (SEM). GAXRD studies confirmed the formation of face centered cubic structure of Pd nanoparticles on graphene. Observed from the HRTEM, Pd nanoparticles were crystalline in nature with an average size of 9.6 nm, 20.3 nm 30.2 nm. In Raman study, we found broad and intense carbon peaks in Pd/Graphene composite structure as we compared it with Raman spectra of pristine graphene. These broadness and enhancement of carbon peaks in Raman spectra are primarily due to the metal-carbon interactions in the Pd/Graphene composite structures. These Pd/Graphene composite structures are expected to use in catalytic industry and fast and efficient hydrogen sensor as well. The results obtained by Raman spectroscopy and HRTEM are important for understanding the changes in the electronic properties of the interface between graphene and Pd nanoparticles.
9:00 AM - RR15.109
Hierarchical Graphene Nano-Structures with High Quality and Mass Production Capability as a Supercapacitor
Jung-Soo Lee 1 Jong-Chul Yoon 1 Sun-I Kim 1 Kwang-Hyun Kim 1 Ji-Hyun Jang 1 2
1Ulsan National Institute of Science and Technology Ulsan Republic of Korea2Ulsan National Institute of Science and Technology Ulsan Republic of Korea
Show AbstractGraphene, a 2-dimenetional sp2-hybridized honeycomb lattice, has received much interest due to extraordinary properties. One of the most useful graphene synthesis methods is the chemical vapor deposition (CVD) technique, which allows for the superior properties. However, CVD-growth of graphene on a metal catalyst has potential problems such as low dimensional scalability and small quantity production.
We report a unique approach to synthesize CVD-grown hierarchical graphene nano-structures (HGNs) that can be mass produced while retaining great properties of 2-dimensional graphene. HGNs exhibited the good electrical conductivity (52 S/cm) and large surface area (1,025 m2/g), superior to the previously reported values of 3-dimensional grapehe. The supercapacitor fabricated by the use of HGN as an electrode demonstrates a specific capacitance of 245 F/g and more than 96.5 % retention of capacitance after 6,000 cycles. The outstanding characteristics of HGNs for supercapacitors verify the strong potential for the use in energy-related areas.
9:00 AM - RR15.11
Layer-Dependent MoS2 Electrocatalysis for Hydrogen Evolution
Yifei Yu 1 Linyou Cao 1 Yanpeng Li 1 Shengyang Huang 1
1North Carolina State University Raleigh USA
Show AbstractPolymer electrolyte membrane fuel cells (PEMFCs) which use hydrogen gas as fuel are emerging as promising candidates in the portable electronics and automobile industries.
Currently, most of the hydrogen is generated from steam reforming of hydrocarbons with only a small amount by electrolysis of water. The most effective electrocatalysts for hydrogen evolution reaction (HER) are platinum group metals (PGM). However, platinum is one of the most expensive metals due to the world&’s limited reserves. It remains challenging to develop highly active catalysts based on materials that are more abundant at low costs. Molybdenum disulfide (MoS2) and graphene14 have similar structures where the two dimensional (2D) molecular layers are bonded by van der Waals force. In contrast to graphene, which is a semi-metal with no bandgap by nature, MoS2 mono-layers offer an attractive semiconductor option due to a direct bandgap of 1.8 eV. Moreover, MoS2 with particle sizes in the range of 1-100 µm is a common dry lubricant and catalyst for hydrodesulfurization in petroleum refineries. In contrast, MoS2 was not considered as a promising electrocatalyst until Hinnemann reported that MoS2 nanoparticles were active for the HER. Since then, the interest in developing and using MoS2 and related metal chalcogenides such as WS2 and MoSe2 as HER electrocatalysts has emerged. In our previous study, we present a new, self-limiting chemical vapor deposition (CVD) approach that can exclusively grow high-quality monolayer or few-layer MoS2 films on various substrates such as silicon oxide, sapphire, and graphite. The objective of the present research is to report the synthesis of 2D MoS2 and to show the electrocatalytic activity and stability of the MoS2 electrocatalysts for the HER.
9:00 AM - RR15.110
Clean and Wrinkle-Free Graphene Using Photopolymer Assisted Graphene Transfer
Junghun Lee 1 Shashikant P Patole 2 Sung-won Jang 3 Oh-kwan Kwon 3 Ji-beom Yoo 1 2
1Sungkyunkwan Univ Suwon Republic of Korea2Sungkyunkwan Univ Suwon Republic of Korea3913-4 Anyang Republic of Korea
Show AbstractRecently, various graphene transfer processes has been reported for the chemical vapor deposition grown graphene. These transfer methods use various polymers such as polymethylmethacrylate (PMMA), polydimethylsiloxane (PDMS) or thermal release tape to hold the extremely delicate 2-D material during transfer. However, during the transfer and subsequent cleaning process, graphene suffers from unwanted polymer residuals and unavoidable wrinkles. It overall degrades the graphene quality, resulting in poor performance in electrical properties such as high electrical sheet resistance and low electron mobility. Herein we report the novel graphene transfer process in which the stated problems are overcome. We use photopolymer to transfer the graphene grown on Cu foil in high temperature chemical vapor deposition. After exposing the photopolymer to UV light, it hardens and attached to the graphene. Subsequent transfer of graphene allows wrinkle free transfer, moreover it keeps top surface of graphene virgin. It is believed that the photopolymer assisted graphene transfer allows the wrinkle free and clean graphene for researchers.
9:00 AM - RR15.112
Study of Growth Mechanism of Doped Graphene on SiC Substrate Using XeCl Excimer Laser
Insung Choi 1 Dae Yool Jung 2 Keon Jae Lee 1 Sung-Yool Choi 2
1KAIST Daejeon Republic of Korea2KAIST Daejeon Republic of Korea
Show AbstractGraphene, a monolayer of carbon atoms arranged to form a two dimensional honeycomb lattice, has outstanding electronic properties suitable for the potential applications in post-silicon electronics. However, graphene has limited application such as analog amplification at high frequencies due to the absence of electronic bandgap. Until now, many efforts have been undertaken to make bandgap in graphene devices with a bandgap induced by bilayer graphene, chemical doping, and spatial confinement. Especially, epitaxial graphene has attracted much attention for its electronic interaction between the graphene and the SiC substrate, causing an unintentional doping from the substrate with a shift of the Fermi level. Recently, Salleo group reported a novel method to synthesize epitaxial graphene on SiC substrate using pulsed laser. The main advantage of this method is that epitaxial graphene can be synthesized at room temperature and low vacuum condition.
In this study, we focused on the growth mechanism of doped graphene on SiC substrate using XeCl excimer laser. The short pulse duration and UV wavelength of XeCl laser can be used to understand growth mechanism of graphene on SiC substrate within nanosecond timescale. We successfully synthesized and characterized the growth of epitaxial graphene on SiC substrate by using Raman spectroscopy, Transmission electron microscope (TEM), Atomic force microscope (AFM). In addition, we studied numerical simulation to understand heat diffusion and temperature history on the surface of SiC substrate during graphitization within nanosecond. We survey surface potential to characterize doped region in graphene by using Kelvin prove force microscopy (KFM) and chemical bonding state by using X-ray photoelectron spectroscopy (XPS).
9:00 AM - RR15.113
Thermal and Surface Modification Utilizing Graphene
Byung-Sang Choi 1
1Chosun University Gwangju Republic of Korea
Show AbstractWith the synthesis of graphene on Cu using CVD, it was tried to show the behavior of graphene growth depending on the size and orientation of Cu grain. It was found out that even under the same temperature and pressure the use of different gases influences on the diffusion rate of Cu. As compared to Ar gas, Cu grain growing bigger under H2 and CH4 was resulted in bigger graphene grain. Corrosion resistance was evaluated by potentiodynamic polarization test in room temperature and found out that the graphene on Cu was more stable in order of 10 than pure Cu due to the chemical stability of graphene. The future work of this research will focus on the synthesis of graphene having no defects including grain boundaries, and its engineering use.
9:00 AM - RR15.114
Production of Size-Selective Graphene Quantum Dots Using Frequency-Controlled Electrochemical Exfoliation with KOH Solution
Jun-ichi Fujita 1 2 Sotaro Akiyama 1 Tomohiko Ikari 1 Kano Kano 1 Katsuhisa Murakami 1 2
1University of Tsukuba Tsukuba Japan2University of Tsukuba Tsukuba Japan
Show AbstractGraphene is a highly promising material for fabricating next-generation electronic devices because of its high electron mobility. It is known that band-gap generation is crucial to achieve on/off switching characteristics in the electronic devices. Therefore, researchers attempted to reduce the channel width in order to widen the band gap by using quantum confinement in a graphene nanoribbon (GNR). A top-down approach to reduce the graphene channel width by e-beam lithography combined with etching achieved a GNR width of approximately 10 nm. However, a certain amount of edge roughness should generate electron localization, and the electron scattering will subsequently deteriorate the mobility. Double layered graphene is another possible approach to widen the band gap by applying gate bias voltage; however, there still exists crucial issues associated with the mass production of double layered graphene. Conversely, a graphene quantum dot (GQD) could be an alternative candidate for electronic devices if the electron mobility is suitable for relatively low frequencies and/or a static device operation such as environmental sensing and solar cell applications.
While most research is targeted toward the production of large-area graphene by chemically reducing the graphene oxide and through electrochemical exfoliation, we found that large amounts of GQDs were produced along with large graphene flakes. Herein, we demonstrated that a frequency-controlled electrochemical exfoliation method, with an alkaline solution of KOH, can control the size of GQDs. Additionally, high-frequency electrolysis typically generated GQD and graphitic cages that are less than 10 nm in diameter. An electrochemical experiment was carried out with the following conditions: 1 mol/L of KOH in distilled water was used as the electrolyte, and electrodes of natural graphite were exfoliated at 15-50 V of the biasing voltage, with the frequency 0.001-50 Hz. The solution was then filtered and centrifuged. The structures and defects of the graphene fragments were characterized by transmission electron microscopy (TEM) and Raman spectroscopy. It is well known that potassium is well intercalated into nearly every layer of graphite when the electrode has a negative bias, and the attack of hydroxyl ions fractured and exfoliated the graphene when the bias is positive. The size of the exfoliated graphene was typically larger than 10 mu;m when the frequency was low; however, the required polarity switching enhanced the exfoliation. A sharp separation between the D and G peaks, as well as the observation that the I2D/IG intensity ratio is close to 1, suggests that the exfoliated graphene contains small defects. In contrast, faster polarity switching tended to produce a smaller fraction of graphene and graphitic carbon cages, where GQDs of approximately 10 nm remained in the supernatant liquid after centrifugation. The supernatant liquid also exhibited fluorescence under ultra-violet illumination.
9:00 AM - RR15.115
Electron Doping of Graphene with Self - Assembled Monolayers
Ayaka Yamada 1 Katsunori Yagi 1 Masako Harigae 1 Junichi Yamaguchi 1 Shintaro Sato 1 Naoki Yokoyama 1
1AIST Tsukuba Japan
Show AbstractStrong n-type molecular doping of graphene was achieved by new self - assembled monolayers (SAMs) deposited uniformly on the SiO2 surface. We found that N(CH3)2 - terminated SAMs induced more than 1.9 × 1013 /cm2 of electrons in single layer graphene, which was estimated from the negative charge neutrality point. On the other hand, NH2 - terminated ones, which have been employed before1, generated 8.4 × 1012 /cm2 in our experiment. Moreover, subsequent deposition of 2,3,5,6 - tetrafluoro - 7,7,8,8 - tetracyanoquinodimethane (F4-TCNQ) molecules, which are one of the major p-dopants, onto the graphene/N(CH3)2 - modified SiO2, resulted in the shift of the charge neutrality point back to ~0 V. This indicates that balanced n-type and p-type doping is possible using N(CH3)2 - terminated SAM and F4-TCNQ.
Method: Back gate transistors using graphene as a channel were fabricated to estimate carrier concentrations induced by molecular doping from the charge neutrality points. N(CH3)2 - terminated SAMs were formed on a photochemically cleaned surface of SiO2 (90 nm)/Si substrates using a vapor phase process2 in a dry N2 atmosphere at 100 °C. For comparison, NH2 - terminated SAMs were also deposited onto other substrates in the similar manner. After mechanically exfoliated graphene flakes were prepared on SiO2/Si, N(CH3)2 - modified SiO2/Si and NH2 - modified SiO2/Si, source and drain electrodes were formed by electron beam lithography, thermal evaporation of Ti/Pd/Au and lift-off. F4TCNQ layer was also deposited on the devices by thermal evaporator at a rate of ~0.7 Å/min. The drain current as a function of the back-gate voltage was measured at room temperature in vacuum. The charge neutrality points of the graphene devices on the N(CH3)2 - terminated SAM were typically less than -80 V, corresponding to electron doping of > 1.9 x 1013 /cm2.
This research is granted by the Japan Society for the Promotion of Science (JSPS) through the “Funding Program for World-Leading Innovative R&D on Science and Technology (FIRST Program),” initiated by the Council for Science and Technology Policy (CSTP). This work was partly conducted at the Nano-Processing Facility supported by ICAN, AIST.
Reference
[1] Park et al., Adv. Mater. 24 (2012) 407
[2] Sugimura et al., Surf. Interface Anal. 34 (2002) 550
9:00 AM - RR15.116
Interfacial Atomic Structure and Interactions at Graphene/Insulator Heterostructure
Shiro Entani 1 Pavel B Sorokin 1 2 Pavel V Avramov 1 Manabu Ohtomo 1 Yoshihiro Matsumoto 1 Liubov Yu Antipina 2 Norie Hirao 3 Iwao Shimoyama 3 Hiroshi Naramoto 1 Yuji Baba 3 Seiji Sakai 1
1Japan Atomic Energy Agency Tokai Japan2Technological Institute for Superhard and Novel Carbon Materials Moscow Russian Federation3Japan Atomic Energy Agency Tokai Japan
Show AbstractRecently, graphene has proved interesting for nanoelectronics and spintronics. Direct growth of graphene on insulator substrates is currently one of the most important subjects for development graphene-based devices. The graphene growth on the insulator substrate by chemical vapor deposition (CVD) method has the following advantages. The first advantage is to enable us to prepare the large scale graphene film as the substrate size. This is contrast to the conventional micromechanical exfoliation method by which graphene is synthesized only in a form of small flakes. The second advantage is to make it possible to avoid the graphene transfer on insulator substrates. It is well known that the large scale and highly uniform graphene film can be synthesized by CVD on catalytic metal surfaces. However, the graphene film grown on the metal substrate must be exfoliated from the substrate and placed on the insulator substrate for device fabrication. Such transfer processes would degrade the electron and spin transport properties of graphene by the introduction of defects, impurities and cracks.
In the present study, single-layer graphene was directly grown on an atomically flat α-Al2O3(0001) substrate and its atomic structure was investigated by element-specific normal incident X-ray standing wave spectroscopy. It is revealed that graphene is adjacent to the oxygen atoms which constitute the topmost layer of α-Al2O3(0001) at the interface. The vertical distance between graphene and α-Al2O3(0001) is determined to be 2.6 Å, suggesting strong interfacial interactions rather than van der Waals interactions. Raman and X-ray photoelectron spectroscopy indicate heavy hole doping in graphene as well as non-chemical interactions at the interface. Theoretical calculations reveal that these situations are resulted from the electrostatic interaction between the graphene π system and unsaturated electrons of the topmost oxygen layer.
9:00 AM - RR15.118
Size-Selective Adsorption of Graphene Sheets onto Spherical Particles
Sinwoo Kim 1 2 Jonghwi Lee 1 Sang-Soo Lee 2 3
1Chung-Ang University Seoul Republic of Korea2Korea Institute of Science and Technology Seoul Republic of Korea3Korea University Seoul Republic of Korea
Show AbstractGraphene has attracted numerous research interests due to their unique electrical, thermal, optical and mechanical properties. The excellent properties of graphene derived from their unique sp2-hybridized carbon arranged in a honeycomb structure can be utilized in many applications. Unfortunately, graphenes made by the chemical exfoliation method - the most reliable industrial method to produce graphene - have extremely wide size distributions, several nanometers to micrometers. This can be a principal cause of poor quality control since most properties can be varied by the lateral size of sheets. Large-area graphene sheets are proper for optoelectronics because of their longer π-conjugation and lower contact resistance, while smaller graphene sheets are good for oxygen reduction reaction catalysts, drug delivery systems and bio-sensing systems because of their higher functionality and photoluminescence properties. To realize graphene-based products at an industrial scale, a fractionation method of graphene sheets is consequently essential. Herein, we were motivated to investigate the size-selective physical adsorption of GO sheets onto spherical particles and its possible use as a novel size fraction method for graphene. The unique structure and possible π-π interactions of graphene enable strong physical adsorption with various materials. With further aid of electrostatic interactions, positively charged polystyrene spheres were successfully wrapped with GO through physical adsorption. The favorable strong adhesive energy between 2D sheets and 3D spheres will entail unfavorable mechanical deformation of sheets. The two competing factors will then be balanced depending on the sizes of sheets and spheres, resulting in possible size-selective adsorption. Intensive investigation about the effect of particle size of PS spheres and PS/GO quantitative ratios confirmed the size selective adsorption. The mean size of fractionated GO shifted up by 20 ~ 570 nm than pristine GO by the size-selective adsorption of PS (100 ~ 1100 nm diameter). We also found a fractionation saturation point which corresponds to the saturation concentration in the adhesion of PS nanospheres with GO sheets. This novel mechanism of size fractionation of GO sheets can enable the convenient large scale production control of graphene, and the rapid development of related devices and systems.
9:00 AM - RR15.119
Adhesion Characteristic of Graphene Synthesized on Cu
Taeshik Yoon 1 Woo Cheol Shin 2 Jeong Hun Mun 2 Byung Jin Cho 2 3 Taek-Soo Kim 1 3
1KAIST Daejeon Republic of Korea2KAIST Daejeon Republic of Korea3KAIST Daejeon Republic of Korea
Show AbstractGraphene is regarded as the next generation material in various fields including electronic devices, diffusion barriers, and composites. For the reliable operation of the graphene-containing applications, the adhesion of graphene should be high enough to ensure the reliable resistance to its interfacial failure. However, the adhesion characteristic still requires further study, even though other physical characteristics of graphene, such as electronic transport phenomena and mechanical behaviors, are relatively well known. Its adhesion is based on van der Waals force and the adhesion energy may be coupled with other physical properties. We directly measured the adhesion energy of large-area graphene synthesized on copper by double cantilever beam fracture mechanics testing. The measured value was 0.72 Jm-2, and it implies that the adhesion of graphene on copper is significantly strong van der Waals adhesion. We further measured the adhesion energy between graphene and various polymers, and showed that graphene has higher adhesion to the polymer containing benzene derivatives. It is attributed to the strengthened van der Waals force based on the pi-pi interaction between graphene and the polymer. The results reveal that the adhesion of graphene can be tuned, which is applicable for the mechanical dry transfer of graphene and the selection criteria of neighboring material having high adhesion reliability at the interface.
9:00 AM - RR15.12
Enhanced Interface Stability between Phase-Change Materials and Heating Electrodes Using Graphene as Interlayer
Tao Kong 1 Rong Huang 1 Jie Zhang 1 Fenfen Wei 1 Guosheng Cheng 1
1Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences Suzhou China
Show AbstractPhase-change memory (PCM) is considered to be one of the most promising candidates for the next generation memory technologies. In the PCM, phase-change materials are the key components which significantly affect the PCM performances. By applying proper electrical pulses, the phase-change materials undergo the transitions between polycrystalline and amorphous states, which can be utilized to record logic “1” and ”0” in the memory. Currently, many compounds, such as GeTe, Sb2Te3 and Ge2Sb2Te5 (GST) have been developed as favorable phase-change materials. Besides the properties of the materials, the contacts between phase-change materials and electrodes also greatly influence the performance of the PCM, especially on the endurance and retention time. Poor contacts commonly form voids between materials and electrodes which reduced the phase transition cycles of the materials. Meanwhile, atoms diffusion from materials to the electrode through the interface of contacts will induce changes of phase-change behaviors. Thus, novel strategy to improve the electrode contacts will be greatly appreciated.
In this work, we integrated graphene into the PCM designs and investigated the void formation and elemental diffusion behaviors in the interface between phase-change materials (using GST as model material) and electrodes. The graphene was fabricated using chemical vapor deposition and transferred on the surface of tungsten heating electrode, followed by 100 nm GST deposition using magnetron sputtering. Transmission electron microscopy revealed that the void formation was suppressed after 10E6 phase transition cycles, compared with the devices without graphene. Moreover, results from in-depth X-ray photoelectron spectroscopy confirmed the diffusion behavior, including the diffusion velocity and depth of Ge, Sb and Te atoms were inhibited due to the introduction of graphene. Monte Carlo calculations further confirmed the XPS results. This work (funded by the International Science and Technology Corporation Program from the Ministry of Science and Technology of China under award number 2010DFB53890) paves the way for the high-performance PCM designs and fabrications.
9:00 AM - RR15.120
Burning Avalanches on Multilayer Graphene
Pedro A. S. Autreto 1 2 Eric Perim 1 Viktor A. Ermatov 3 Alfredo R. Vaz 3 Ricardo Paupitz 4 Stanislav A. Moshkalev 3 Douglas S. Galvao 1
1State University of Campinas Campinas Brazil2Rice University Houston USA3State University of Campinas Campinas Brazil4Universidade Estadual Paulista Rio Claro Brazil
Show AbstractDue to its unique properties and to a large amount of possible technological applications, graphene [1] and graphene-like materials have become one of the hottest topics in materials science.
In this context, it is of great interest to understand and to control the physical properties of this material. Since a great part of the physical behavior of multilayer graphene samples are size-dependent, such as, the thermal conductivity that decreases rapidly with the increase of the number of layers, it is important to know how to control the geometry of these samples. A lot of effort has been dedicated to produce, in a controlled way, few layer graphene sheets in order to enable their use in nano-electronic devices. One important aspect of this kind of study is the control of the number of layers. In this work we report experimental results of suspended multi-layer graphene membranes, irradiated by a focused laser beam (~mW). Our results show that it is possible to reduce, in a reasonably controlled way, the number of layers by selective layer burning. After heating the membrane with the laser beam, in the presence of oxygen and under specific conditions, it is possible to obtain a fast burning of the external layer, without damaging the structure of the next layer. The external layer is almost completely consumed before starting the burning process of the next layer. This process can be continuously repeated in order to reduce the thickness of the graphene membrane, layer by layer, with atomic precision. In order to gain further insights on these phenomena, we have also carried out fully atomistic molecular dynamics simulations using the reactive force field ReaxFF [2], as implemented in the LAMMPS code [3]. The simulations were able to reproduce the main features experimentally observed when the following conditions were satisfied: the graphene edges were protected from chemical attacks and the layers have highly oriented pyrolytic graphite quality (very few defects). Under these conditions it was possible to observe the process of layer-by-layer burning, that occurs in an “avalanche-like” way.
[1] K. S. Novoselov et al, Science v306, 666 (2004).
[2] A. C. T. van Duin, S. Dasgupta, F. Lorant, and W. A. Goddard III, J. Phys. Chem. A 105, 9396 (2001).
[3] S. Plimpton, J. Comp. Phys. V117, 1 (1995), http://lammps.sandia.gov/.
9:00 AM - RR15.121
Synthesis of Graphene Nanoribbons from Amyloid Fibrils by Solid-Phase Graphitization Using Liquid Gallium Catalyst
Katsuhisa Murakami 1 2 Tianchan Dong 1 2 Takaki Hiyama 1 Ryuichi Ueki 1 2 Gai Ohashi 1 Kentaro Shiraki 1 Yoichi Yamada 1 Jun-ichi Fujita 1 2
1University of Tsukuba Tsukuba Japan2University of Tsukuba Tsukuba Japan
Show AbstractA reproducible synthetic procedure for graphene nanoribbons (GNRs) is one of the most important techniques for realizing graphene-based electronic devices, because the band gap of GNRs can be widened and controlled by width reduction because of the quantum confinement effect. Many challenges are associated with the synthesis of GNRs using top-down or bottom-up techniques such as conventional electron beam lithography, chemical “scissoring” of graphene and/or carbon nanotubes, and the self-assembly of template molecules. However, the reproducible synthesis of GNRs with a width of less than 10 nm has not yet been established. In this study, we demonstrate a new approach to synthesize GNRs from amorphous carbon templates made of amyloid fibrils, using an induced solid-phase graphitization technique at the interface between the amorphous carbon and liquid gallium. An amyloid is a long (greater than a micrometer) and linear protein with a width of less than 10 nm, which can be controlled by a combination of amino acids. The carbonized amyloid templates were transformed into GNRs that maintain their shape by interfacial graphitization with a liquid gallium catalyst.
The amyloid fibrils were self-assembled from a hen egg white lysozyme, where 5 g/L of the lysozyme solution was stirred for 9 h at approximately 89-93 °C. The average and minimum width of the amyloid fibrils were 6.5 nm and 4.0 nm, respectively, which were determined by transmission electron microscopy. The amyloid dispersion was dropped onto the c-plane of a sapphire substrate, rinsed with distilled water, and dried at room temperature. The amyloid on the substrate was then carbonized by annealing in a quartz tube furnace at 500 °C for 30 min in a vacuum under approximately 3.0×10-4 Pa. After the annealing preprocess at 500 °C, the 1-nm-thick amorphous carbon typically remained, inheriting the shape of the linear amyloid and leading to a single or double layer of graphene. The amorphous carbon templates on the substrate were graphitized in a quartz tube furnace at 1000 °C for 30 min in a vacuum under approximately 3.0×10-4 Pa using a liquid gallium catalyst. After graphitization, the liquid gallium was removed by 1% hydrochloric acid. The numerous thin graphitized amyloids remained on the substrate in the atomic force microscopy images and the scanning electron microscopy images. The Raman spectra of the graphitized amyloids showed clear G and 2D peaks, accompanied by a small D peak with a broad tail, presumably due to the remaining amorphous carbon and defects. The large 2D/G ratio suggests that the GNRs were composed of a single and a double layer of graphene. Therefore, this new approach shows great potential to reproducibly synthesize GNRs that are less than 10 nm in width, depending on the width of the amyloid carbon template.
9:00 AM - RR15.122
Mechanical Properties of Graphdiyne/Copper Nanocomposites
Ruth E Roman 1 Steven W. Cranford 1
1Northeastern University Boston USA
Show AbstractThe recent discovery of graphene and its associated thermal, electrical, and mechanical properties has motivated further investigation of similar two-dimensional systems, including all-carbon allotropes of graphene. One such emerging allotrope is so-called graphdiyne, a recently synthesized one-atom-thick carbon network which can be constructed by connecting two adjacent hexagonal rings with uniformly distributed diacetylenic linkages. This allotrope has demonstrated a set of distinguished properties and is considered a promising material, which can meet the increasing requirements to carbon-based nanomaterials. There are a few reports of the mechanical behavior of isolated graphdiyne. However, currently graphdiyne has only successfully been synthesized on copper substrates, and the composite behavior of the material has not been investigated. Here we combine copper/graphdiyne nanocomposites with varied numbers of layers of graphdiyne sheets, as well as sandwich-structured copper/graphdiyne layers to determine mechanical properties. Using full atomistic molecular dynamics (MD), the elastic stiffness and limit states of these nanocomposite materials are investigated through direct tensile loads. The MD simulations are performed using Adaptive Intermolecular Reactive Empirical Bond Order (AIREBO) potential to describe carbon interactions within graphdiyne, while Embedded-Atom Method (EAM) potential is used for copper. Furthermore, we study theoretical methods to estimate the parameters to mechanically characterize copper/graphdiyne nanocomposites. The obtained results show that that the mechanical properties of these structures cannot be determined from simple composite rules.
9:00 AM - RR15.123
Role of Strain on Electronic and Mechanical Response of Semiconducting Transition-Metal Dichalcogenide Monolayers: An Ab Initio Study
David M Guzman 1 Alejandro H Strachan 1 2
1Purdue University West Lafayette USA2Purdue University West Lafayette USA
Show AbstractThe electronic and elastic properties of monolayer transition-metal dichalcogenides MX2 (M=Mo, W, Sn, Hf and X=S, Se, Te) are investigated from fully relativistic first principles calculations based on density functional theory. The vacuum level is used as reference in the characterization of the band alignment of MX2 monolayers as function of biaxial strain. We find that upon compressive/tensile strain, the band alignment can be engineered to form type-III lateral heterostructures between some of the studied monolayers. We find that strain has a significant effect on band gap; a biaxial strain of 1% leads to bandgap changes ranging from 0.8eV to 1.6eV. Furthermore, direct-to-indirect band gap transition can be modulated by the applied strain. These calculations provide important insight for the development of heterostructures based on this class of materials and the possible use of strain to modulate their properties.
9:00 AM - RR15.124
Hydrogen Annealing Effects on Surface of Copper in the Process of Graphene Growth by LPCVD
Welyson Tiano dos Santos Ramos 1 Gustavo Arrighi Ferrari 1 Thiago Henrique Cunha 1 Ingrid David Barcelos 1 Rodrigo Gribel Lacerda 1
1Federal University of MInas Gerais Belo Horizonte Brazil
Show AbstractThe growth process of graphene has not been fully elucidated, and understanding of this step allows you to search for ways to improve the quality of graphene obtained. Hydrogen (H2) has been shown to be important component in the process of growth at low pressure, however their actions before and during the growth process is not fully understood. In this paper we present a study involving the influence of hydrogen (H2) annealing effects on the copper surface and its subsequent effects on growth of graphene by technique of Low pressure chemical vapor deposition (LPCVD). The study was carried out by varying the hydrogen pressure from 0 to 1852 mtorr in the annealing process, and performing graphene growth under conditions of partial pressure of 330 mTorr of CH4 + H2. The growth was also executed with the copper foils in two different configurations: 1) copper foil encapsulated between two quartz substrates; 2) fully exposed. The influence of H2 treatment becomes clear since the encapsulated copper always had a better surface morphology in all H2 annealing conditions whereas the exposured copper only had its surface properly improved at very high H2 concentrations. At low concentrations, we observed that the H2 promotes an increase in the evaporation process of copper worsening the surface. While high concentrations it to suppress the evaporation of providing a better surface, but in the end of process the graphene is damaged. The H2 adsorption in metals is associated with its partial pressure (Sieverts law) and we believe that with increasing concentration, more molecules are dissolved in copper, and during cooling process the H2 precipitates to the surface and interacts with the graphene already formed robbing carbon to form CH4, tearing the structures. Additionally, the H2 partial pressure also has a direct correlation with the amount of nucleation sites created in the copper foil, which determines the size of the graphene crystals during the growth process. Our work demonstrate that the H2 partial pressure annealing has a strong effect on the copper surface morphology causing considerable impact on the quality of graphene growth.
9:00 AM - RR15.125
Fabrication of Large-Scale Nanoporous Graphene aAnd Its Application for Photosensitive Device
Yamujin Jang 1 2 Jea-Hyun Lee 1 2 Soon-Hyung Choi 1 2 Jae-Yeong Lim 1 2 Yeong-Min Seo 1 2 SungWoo Hwang 2 Dongmok Hwang 1 2
1Sungkyunkwan University Suwon Republic of Korea2Samsung Advanced Institute of Technology Yongin Republic of Korea
Show AbstractGraphene is an attractive material for optoelectronic device applications due to great electrical and mechanical properties. However, lack of band gap is significant hurdle of graphene for future electrical device applications. In the past few years, several methods have been attempted to open and tune a band gap of graphene. For examples, graphene nanostructures based transistor shown high on/off ratio with band gap opening. However, these methods are complex and only small area is possible. For practical applications, it needs more simple and large scale process. Herein, large-scale nanoporous graphene (NPG) structures using a thin AAO template are successfully fabricated. A thin AAO film is transferred onto a hydrophobic graphene surface under no external force. The AAO film is completely stacked on the graphene due to the van der Waals force. The neck width of the NPG can be controlled ranging from 10 nm to 30 nm with different AAO pore widening times. Extension of the NPG structure is demonstrated on a centimeter scale up to 2 cm2. We also demonstrated NPG based photosensitive devices. Thin CdSe QD layer was deposited by spin coating process on NPG-transistor. Device measurements were done by Keithley 4200 SCS with 532 nm laser beam (5mW) irradiation.
9:00 AM - RR15.126
Growth of Large Area High Quality Graphene Layers Using Chemical Exfoliation
Pawan Kumar Srivastava 1 Subhasis Ghosh 1
1Jawaharlal Nehru University New Delhi India
Show AbstractGraphene, a mono atomic layer of carbon atoms arranged in a hexagonal network has attracted significant attention due to its exceptionally high charge carrier mobility and unique band structure that results in several fascinating properties generally not observed in any other organic or inorganic semiconductors. Mechanical exfoliation is the most popular method for obtaining highest quality graphene. However, the yield for this method is extremely low. Moreover, small size and extremely poor control over obtaining only monolayer or bilayer or multilayer and finally incompatibility with device fabrication are serious drawbacks of this method. Currently, chemical vapour deposition (CVD) on metallic substrates and annealing SiC substrates at high temperature (>1200C) are two epitaxial methods employed for large area graphene. However, graphene layers grown by these methods have some serious drawbacks, in particular these methods lead to large no. of defects in graphene layers. Large domain with undisturbed π-conjugation remains a challenge in SiC based methods. However, all the spectacular properties are due to confinement of charge carriers in a plane of atomic thickness. This makes graphene based devices extremely sensitive to how it is grown on different substrates and the nature of the interface between graphene and substrates. So, it is essential to develop a method to grow graphene layers reproducibly on desired substrates such that 2D character of graphene can be retained. Here we report an optimized chemical exfoliation process by which large area (>100 µm) high quality graphene can be grown reproducibly on desired substrates and can be used for further applications. In a typical process, piece of highly ordered pyrolytic graphite (HOPG) was sonicated in various organic solvents (toluene, chlorobenzene, DMF, PC etc.) and then this dispersion was centrifuged to precipitate out thick graphitic flakes. The quality of graphene layers depends strongly on choice of the organic solvent for exfoliation process. Confocal Raman spectroscopy, optical transmittance measurement and atomic force microscopy were used to characterize the thickness and layered structure of graphene layers. We have observed that when graphene layers were exfoliated from HOPG in solvents with low polarity i.e. toluene, chlorobenzene, D band due to defect is almost absent and a carrier mobility of 20,000 cm2/Vs can be obtained routinely in graphene monolayer based field effect transistors. On the other hand, when graphene layers are exfoliated from HOPG in high polarity solvents i.e. DMF, PC, D band is relatively strong and carrier mobility is almost reduced by three times than that in previous cases. Hence, by varying different parameters during exfoliation and nature of organic solvents, extremely high quality large area monolayer, or bilayer or multilayer graphene can be grown reproducibly on different substrates.
9:00 AM - RR15.128
Selective Graphene Synthesis by Pulsed Laser Annealing Ion Implanted SiC Substrates
Kara Berke 1 Xiaotie Wang 2 Dinesh K. Venkatachalam 4 Maxime G. Lemaitre 3 Sefaattin Tongay 1 7 Joel Fridmann 5 6 Brent P. Gila 3 6 Robert G. Elliman 4 Fan Ren 2 3 Arthur F. Hebard 1 Bill R. Appleton 3 6
1University of Florida Gainesville USA2University of Florida Gainesville USA3University of Florida Gainesville USA4Australian National University Canberra Australia5Raith USA, Incorporated Ronkonkoma USA6University of Florida Gainesville USA7University of California Berkeley USA
Show AbstractWe present our findings on the selective growth of multi-layer graphene (MLG) on SiC substrates through pulsed laser annealing (PLA). By combining ion implantation(II) and PLA, we accomplish direct nano-scale patterning through selective graphene growth onto SiC only where ions are implanted, and without the need for conventional lithographic techniques. Furthermore, this process can be implemented in a variety of environments (air, Ar, N2, vacuum, etc.) while the bulk of the substrate remains close to room temperature. Our previous work in this area suggests that the graphene synthesis is aided by the use of II to embed various materials (including Au, Cu, and Ge) into SiC substrates prior to annealing. In this work we investigate to what extent this can be attributed to the amorphization of the SiC surface during II, and to what extent the process depends upon the implanted species. The dose and energy of the implanted ions were varied to produce samples of different amorphous layer thicknesses. These samples were annealed using a pulsed ArF laser. Raman spectra, scanning electron microscopy (SEM) and cross sectional transmission electron microscopy (TEM) images were taken to confirm the presence of graphene after annealing. Rutherford Backscattering (RBS) was used to confirm the thickness of the amorphous layers. We find that both amorphization and ion species affect the synthesis of graphene through PLA on SiC surfaces. Both processes serve to lower the threshold laser fluence necessary for graphitization, and therefore can be used to fine tune selective graphene growth on SiC using II and PLA.
9:00 AM - RR15.129
Study of Length Scales Extracted from Weak Localization in CVD Graphene
Olesya I Sarajlic 1 Tianyu Ye 1 Ramesh G Mani 1
1Georgia Sate University Atlanta USA
Show AbstractMagnetoresistance (MR) measurements on graphene grown by chemical vapor deposition (CVD) on thin copper films are performed down to liquid Helium temperatures. Measurements show that the diagonal resistance R_xx varies logarithmically vs. temperature and magnetic field, as expected for weak localization. The interesting aspect here in CVD graphene is that weak localization effect is immense compared to the typical observation in dirty metals. At zero magnetic field, R_xx increased by about 7% with decreasing temperature from 110 K to 1.5 K. The dependence of weak localization on magnetic field is fitted by the theory, and extracted characteristics length scales L_phi;, L_i, and L_* are analyzed as a function of temperature and carrier density.
Research has been supported by the DOE, Office of Basic Energy Sciences, Material Sciences and Engineering Division under DE-SC0001762, and by the ARO under W911NF-07-01-015.
9:00 AM - RR15.13
Effect of Sublimation of Cu Substrates on Chemical Vapor Deposition Growth of Graphene
Tomo-o Terasawa 1 Sven Stauss 2 Kazuo Terashima 2 Koichiro Saiki 1 3
1The University of Tokyo Kashiwa Japan2The University of Tokyo Kashiwa Japan3The University of Tokyo Kashiwa Japan
Show AbstractChemical Vapor Deposition (CVD) on a copper substrate is considered to be the most suitable method to fabricate graphene for industrial applications owing to the selectivity of the number of layers and large area fabrication. As this technique requires very high temperature close to the melting point of copper, the surface might suffer from much disorder due to sublimation, aggregation, and surface melting, which would affect the quality of graphene. Thus the control of copper sublimation during the CVD process is very important for obtaining the high quality graphene especially in low pressure CVD. However, the sublimation of the copper substrate in the CVD process has not been studied intensively.
At the present meeting, we will report the observation of the morphology change of the copper substrate during the low pressure CVD processes. We evaluated the sublimation rate of copper films evaporated on Al2O3 (11-20) and Al2O3 (0006) substrates by atomic force microscope. Copper sublimation during hydrogen annealing and argon annealing processes were approximately 10 nm/min which could not be negligible when considering the growth of graphene in low pressure CVD. In contrast, the sublimation rate during the graphene fabrication process was approximately 4 nm/min indicating the suppression of copper sublimation by the presence of graphene. We also observed the dependence of copper sublimation rate on the surface orientation. Cu (100) on Al2O3 (11-20) substrate sublimed faster than Cu (111) on Al2O3 (0006) suggesting the relative stability of the closest packing structure of copper (111) surface at high temperatures close to the bulk melting point of copper. These results indicate that both the local differences of copper sublimation rate originating from the presence of graphene and the difference of surface orientation would roughen the copper surface and deteriorate the crystallinity of grown graphene.
9:00 AM - RR15.134
Probing the Distribution of Crystallographic Charges in Graphene Membranes : Electron Holography Measurements and DFT Modeling
Luca Ortolani 1 Cristian Degli Esposti Boschi 1 Jacopo Simoni 2 Giulio Paolo Veronese 1 Rita Rizzoli 1 Vittorio Morandi 1
1CNR IMM Bologna Italy2Trinity College Dublin Ireland
Show AbstractGraphene is a fascinating new material [1], and its peculiar properties hold promises for a great technological impact [2]. Around the Fermi energy, the band structure of graphene presents six conical points where the energy dispersion is perfectly linear in the momentum. For this reason, low energy excitations in graphene exhibit a charge conjugation between electrons and holes, and those carriers can be described as a 2D gas of effective massless Dirac fermions [3]. Unfortunately, upon stacking to form a Few-Graphenes-Crystal (FGC), the weak interlayer interaction could induce small valence charge redistribution in the crystal lattice, that suppress the linear dispersion in the band-structure [4]. It is known that a dispersive Van der Waals interaction is responsible for this behaviour, but in various computational studies such a term is omitted (due to the fact that a certain local-density approximation yields surprisingly the correct distance for graphite) and in general this aspect is still not completely clear at the DFT level.
Using transmission electron holography [5], we investigate the redistribution of electronic crystal charges in FGCs [6] and individual monolayers [7], mapping the effect of the Van der Waals interaction between graphene layers as the distance between the layers varies close to folded edges. Moreover, we will show that electronic density and internal electrostatic potential energy experienced by an electron passing through the crystal can be computed by ab-initio approaches based on Density Functional Theory, with high accuracy on single and multiple graphene layers. The computed phase-shift values are in very good agreement with the ones measured experimentally, strengthening the perspectives of the application of the technique, as well as of the computational approach, to more complicated and interesting systems, like functionalized graphene layers.
References:
[1] K. Novoselov et al., Science, 306 (2004) 666.
[2] A.K. Geim et al., Nat. Mater., 6, (2007) 183.
[3] A.H.C. Neto et al., Rev. Mod. Phys., 81, (2009) 109.
[4] S. Latil et al., Phys. Rev. Lett., 97, (2006) 036803.
[5] H. Lichte et al., Ann. Rev. Mat. Res., 37, (2007) 539.
[6] L. Ortolani et al., Carbon, 49, (2011) 1423.
[7] L. Ortolani et al., Nano Lett. 12, (2012) 5207.
9:00 AM - RR15.135
Chemical Vapor Deposition of Graphene on Evolving Catalytic Surface
Hyochan Lee 1 Eunho Lee 1 Man Kyu Jo 1 Hyomin Ko 1 Eunjoo Song 1 Donghun Sin 1 Kilwon Cho 1
1Pohang University of Science and Techonolgy Pohang Republic of Korea
Show AbstractGraphene can be synthesized on a large scale using chemical vapor deposition (CVD) on Cu surface, but its properties are degraded by many defects introduced during CVD process. One of the most important factors which strongly influence the defect density of graphene is surface morphology of the Cu. However, a drawback of the previous studies on the effects of Cu surface morphology is that the Cu surface was assumed to be a static, “non-evolving” surface while the actual surface of Cu undergoes surface faceting on sub-micrometer scale during the CVD growth of graphene. Here, we investigated the effect of dynamic surface evolution of Cu on the growth of CVD graphene. Faceting of Cu surface proceeded through three stages of surface faceting during CVD of graphene; nucleation and propagation of facet domains, coalescence of facet domains and coarsening of faceted surface. In addition, the kinetics of sub-micrometer scale surface evolution was found to be dependent on the initial surface morphology on the a few tens of micrometer scale, and quality of CVD graphene was determined accordingly. When the Cu surface was initially flat on microscale, facet domains were nucleated with many small individual facets and monolayer graphene nucleated on the facet domains. On the other hand, on a rough surface, the width of individual facets was higher than that on a flat surface in the early stage of CVD of graphene and many multilayer graphene islands formed. In addition, we proposed the mechanism how the width of individual facets on faceted surface determines the growth of CVD graphene.
9:00 AM - RR15.136
Transmission Electron Microscopy Couldnrsquo;t Identify Graphene Edge
Ahmad Yazdani 1 Behrad Barakati 2
1Tarbiat Modares University Tehran Islamic Republic of Iran2Islamic Azad University Tehran North Branch Tehran Islamic Republic of Iran
Show Abstractit is important to know the kind of edge, along the edge of graphene; because electronic and spintronic properties of this material is due to its edge. But we must know that the TEM picture maybe show us wrong or deform structure. Herein, we want to discribe the cause of this phenomenon that get us wrong structure.
Electronic properties of graphene are closely depended upon its edges. Indentifying the sort of edge is so important for usage of graphene in different applications. In experimental articles zigzag edge is reported as stable edge although in theorical case these kinds of edge couldn&’t be stable even in the room temperature. Most probable reconstruct zigzag edge in theorical is reported as zz57 edge .it is formed by sequence of pentagons and heptagons at the edge. The energy of structure must be minimum and it is the reason of configuration of ZZ57.
So, there is a paradox between theorical and experimental answers, where we make a question “which one is correct?” Here, we try to find a reasonable answer for this question and make a balance between theorical and experimental calculations. To reach to our purpose we used Grit&’s .experiment (SCIENCE ,VOL 319, 1229-1232, (2008)) and we employed their samples TEAM photos.
the electronic microscope maybe give wrong image from edges. Because the beam could be transfer energy to the graphene sheet, this energy could be change the structure of edge. However in some cases we can get true image .but after imaging we could not be sure that those structure is remain and the characteristic is not be change. The reason of getting wrong image that describe here are briefly mention below:
1) edge configuration converts because of atom ejection (by beam).
2) conversion of edge is happened because of energy absorption. In this occasion energy of edge is more than minimum energy.
3) the atom is in potential well and it has energy, thus it oscillates and this oscillation cause detection more than one time.
9:00 AM - RR15.137
Synthesis and Electrochemical Lithiation Behavior of Graphene Films on Ni And Cu Substrates
Lamuel David 1 Gurpreet Singh 1
1Kansas State University Manhattan USA
Show AbstractWe study the process of graphene growth on Cu and Ni substrates subjected to rapid heating (approx. 8 °C/sec) and cooling cycles (approx. 10 °C/sec) in a modified atmospheric pressure chemical vapor deposition furnace. Electron microscopy followed by Raman spectroscopy demonstrated successful synthesis of large area few-layer graphene (FLG) films on both Cu and Ni substrates. The overall synthesis time was less than one hour. Further, the as-synthesized films were utilized as anode material and their electrochemical behavior was studied in a lithium half-cell configuration. FLG on Cu (Cu-G) showed reduced lithium-alloying capacity when compared with SLG, BLG and Bare-Cu suggesting its substrate protective nature (barrier to Li-ions). While FLG on Ni (Ni-G) showed better Li-cycling ability similar to that of other carbons suggesting that the presence of graphene edge planes (typical of Ni-G) is important in effective uptake and release of Li-ions in these materials.
9:00 AM - RR15.138
Synthesis and Characterization of Graphene, Graphitic Nanoplatelets, and Carbon Nanowalls
Rajen B Patel 1 Zafar Iqbal 1 Marek Sosnowski 1
1New Jersey Institute of Technology Newark USA
Show AbstractGraphene is currently one of the most extensively investigated materials because of its remarkable electronic and structural properties [1, 2]. One drawback of graphene is the potentially high cost of its synthesis. Two methods will be described, the first of which is for the production of bulk quantities of graphene and graphitic nano-platelets by a chemical vapor deposition method at 800 C, using a commercial, nanoscale iron powder catalyst and acetylene gas. The other method uses a radio frequency (RF) alcohol-hydrogen plasma, either on a catalytic nickel foil or without a metal catalyst, on a silicon wafer at 850 C, to produce vertically aligned carbon nanowalls consisting of a few graphene layers. The first process involves a novel growth mechanism, a so-called ‘flower&’ growth process, which surprisingly produces graphene instead of the expected multiwalled carbon nanotubes under similar growth conditions. In the second process, the reactor walls and substrates are brought to a high temperature, and the application of an RF plasma converts ethanol in the presence of hydrogen to carbon, OH radicals, and H+ ions. The parameters that differentiate the growth of graphene-like carbon nanowalls from that of carbon nanotubes is the high concentration of H+ ions in the plasma and the absence of transition metal catalyst particles on the substrates. The two processes clearly demonstrate independent mechanisms for the growth of graphene, graphitic nano-platelets and carbon nanowalls. The samples produced were investigated in detail by scanning and transmission electron microscopy, and Raman spectroscopy.
References:
[1] A.K. Geim and K.S. Novoselov: The rise of graphene. Nat. Mater. 6, 183 (2007)
[2] C. Mattevi, H. Kim, M. Chhowalla: A review of chemical vapour deposition of graphene on copper. Journal of Materials Chemistry. 21, 3324 (2010)
9:00 AM - RR15.139
Scalable Nanomanufacturing of Electrically Conductive, Ductile, Millimeter-Length Nanosheets of KxCoO2.yH2O
Mahmut Aksit 1 Benjamin C. Hoselton 1 Ha Jun Kim 1 Don-Hyung Ha 1 Richard D. Robinson 1
1Cornell University Ithaca USA
Show AbstractSol-Gel and electrical-field induced Kinetic Demixing (SGKD) processes were used to synthesize electrically-conducting, ductile, millimeter-length nanosheets of KxCoO2.yH2O. KxCoO2.yH2O nanosheets are one of the most electrically conductive metal oxide nanosheets in the literature with an in-plane resistivity less than ~4.7 mOmega;.cm. Our high temperature bottom-up synthesis is a cost-effective route capable of producing tens of thousands of nanosheet layers self-organized into a macro-scale pellet. The nanosheets are uniform in length and shape with highly anisotropic dimensions of nanometer sheet thickness and millimeter lateral lengths (50,000:50,000:1 - length:width:thickness). Through ball-milling they are readily delaminated into up to ~50 µm long free-standing nanosheets. High resolution transmission electron microscopy (HR-TEM) studies performed on delaminated pieces indicate that the nanosheets stack on each other in a turbostratic arrangement, with the nanosheets misaligned rotationally with respect to stacking axis. The nanosheets are stacked in perfect registry along their c-axis according to X-ray Diffraction (XRD) results. Scanning electron microscopy (SEM) studies indicate that the nanosheets are ~13 nm thick in average and they are ductile with a bending radius as small as ~5 nm.
9:00 AM - RR15.14
Nickel Induced Crystallization of Carbon During Deposition
Robert Wenisch 1 Rene Huebner 1 Matthias Krause 1 Sibylle Gemming 1 2 Gintautas Abrasonis 1
1Helmholtz-Zentrum Dresden-Rossendorf Dresden Germany2Technische Universitaet Chemnitz Germany
Show AbstractCrystallization kinetics of ion beam sputtered carbon on polycrystalline nickel thin films is investigated. The process temperature is significantly reduced in comparison to annealing of an amorphous carbon film without the aid of a transition metal. The degree of graphitization and the average grain size of the resulting films are examined by means of Raman-spectroscopy and high resolution transmission electron microscopy. The chemical state of the carbon atoms is analyzed by X-ray photoelectron spectroscopy. Additionally, nuclear reaction analysis confirms the temperature independence of the carbon absorption on the nickel surface. We believe that the process holds a potential for the synthesis of crystalline thin films or single layers of different 2D nanomaterials.
9:00 AM - RR15.140
Growth and Properties of Vertical Heterostructures of 2D Layered Metal Chalcogenides by van der Waals Epitaxy
Xingwang Zhang 1 2 Fei Meng 1 Mark Lukowski 1 Song Jin 1
1University of Wisconsin-Madison Madison USA2Zhejiang University Hangzhou China
Show AbstractTwo-dimensional (2D) nanostructures of layered metal chalcogenides, represented by MX2 family (M = Mo, W, etc.; X = S, Se), have drawn significant attention recently. The high carrier mobility and fascinating optical and spin phenomena make them promising building blocks for a variety of functional devices with unprecedented performance characteristics for electronic, photonic, spintronic, and energy applications. The more complex structures and physical properties of 2D MX2 nanomaterials present both exciting opportunities and challenges in the controlled growth of heterostructures. Here we report a versatile synthesis of vertical heterostrutures of 2D layered metal chalcogenides by van der Waals epitaxy. A variety of MX2 compounds, such as thin layers of MoS2, WS2 and WSe2 were grown onto micron-sized SnS2 plates via a mild chemical vapor deposition (CVD) process. Powder X-ray diffraction (PXRD) and Raman spectroscopy confirmed the chemical and structural identity of the as-grown heterostructures. Large area of Moiré fringes due to the lattice mismatch between the SnS2 and the overgrown MX2 layer was observed using transmission electron microscopy (TEM), confirming the van der Waals epitaxy between their basal planes. The photoluminescence and other physical properties of these heterostructures was also studied and compared with theoretical calculations. These novel heterostructures can be interesting heterojunction stacks for a variety of applications.
9:00 AM - RR15.141
Supercapacitor Electrode Made by Directly Bonded MoS2 in to Reduced Graphene Oxide
Edney Silveira Firmiano 1 Adriano Cesar Rabelo 1 Cleocir Jose Dalmaschio 1 Antonio Narcisio Pinheiro 1 Ernesto Chaves Pereira 1 Wido Herwig Schreiner 2 Edson Roberto Leite 1
1Federal University of Samp;#227;o Carlos Samp;#227;o Carlos Brazil2Federal University of Paranamp;#225; Curitiba Brazil
Show AbstractUsing a simple procedure, layered Molybdenum disulfide were deposited on reduced graphene (MoS2/RGO) by microwave heating. Three concentrations of MoS2 were loaded on reduced graphene oxide (RGO). The structure and morphology were characterized by Scanning Electron Microscopy, Transmission Electron Microscopy and Atomic Force Microscopy. By X-ray photoelectron spectroscopy and FT-IR, we detected that the first layers of MoS2 are directly bonded by chemical covalent bond (Mo-O-C) with the oxygen of the RGO. The electrochemical characterizations indicate that this supercapacitor electrode can be cycled reversibly between 2.5 and 0.8V in 1M HClO4 electrolyte for the hybrid material with low concentration of MoS2 layers and between 2.5 and 0.65V for medium and high concentration of MoS2 layers on RGO. The specific capacitance measured values at 10 mVs-1 are 128, 265 and 148 Fg-1 for the MoS2/RGO with low, medium and high concentration of MoS2 respectively. Disregarding any synergetic effect between both and considering only MoS2 as an active material, an intrinsic specific capacitance value of 1558 F g-1 and a calculated energy density of 63 Wh kg-1 can be observed for the MoS2 in the hybrid material with low concentration of MoS2. This supercapacitor electrode also exhibits superior cyclic stability with 92% of specific capacitance retained after 1000 cycles.
9:00 AM - RR15.142
Real-Time XPS Study of Reduction of Graphene Oxide: Effect of Hydrazine Treatment
Daiki Watanabe 1 Shuichi Ogawa 1 Hisato Yamaguchi 2 Hideaki Hozumi 1 Cecilia Mattevi 3 A. Yoshigoe 4 S. Ishizuka 5 Y. Teraoka 4 Takatoshi Yamada 6 Manish Chhowalla 7 Yuji Takakuwa 1
1Tohoku University Sendai Japan2Los Alamos National Laboratory Los Alamos USA3Imperial College London London United Kingdom4Japan Atomic Energy Agency Tokai Japan5Akita National College of Technology Akita Japan6National Institute of Advanced Industrial Science and Technology Tsukuba Japan7Rutgers University Piscataway USA
Show AbstractEvolution of chemical structure of graphene oxide (GO) was investigated at an atomic level using synchrotron light source (SPring-8). Our photoelectron spectroscopy results revealed that there was a distinct difference between chemical structures of GO with and without hydrazine treatment prior to the thermal reduction. Hydrazine treated GO, which is widely used as GO having high electrical conductivity, had less structural defects and amorphous components in the material. This tendency was consistent even after the thermal reduction. Our results provided new insights into the clarification of the transport mechanism, as well as strategy for improving its electrical property for electronic applications.
9:00 AM - RR15.15
Spontaneous Decoration of Gold Nanoparticles on Transition-Metal Dichalcogenides Sheets in Hexachloroauric Acid Solution
Segi Byun 1 2 Jaemyung Kim 2 Alexander J. Smith 2 Jin Yu 1 Jiaxing Huang 2
1KAIST Daejeon Republic of Korea2Northwestern University Evanston USA
Show AbstractTransition-metal dichalcogenides such as MoS2 and WS2 can be decorated with gold nanoparticles via a spontaneous redox reaction with hexachloroauric acid in water. The gold nanoparticles tend to nucleate at defect sites, and selective decorations at the edges and the line defects of bulk single crystals were observed. Chemically exfoliated MoS2 and WS2 sheets made by lithium intercalation-exfoliation process have greater number of defects than their single crystalline counterparts, and therefore, a more uniform, higher density deposition of gold nanoparticles could be achieved. Due to the greatly improved charge transport between adjacent sheets, the resulting TMDC/Au hybrids show significantly enhanced electrocatalytic performance toward hydrogen evolution reactions.
9:00 AM - RR15.16
Silver Coated Graphene Hybrid Nanoparticles for Multifunctional Composite Applications
Vijaya K Rangari 1 Chinedu Okoro 1 Jeelani Shaik 1
1Tuskegee University Tuskegee USA
Show AbstractMetal or metal oxide hybrid nanoparticles supported on low-dimensional carbon nanomaterials have generated a significant interest in various applications. Combining highly abundant carbon based nanoparticles with small percentages of metallic particles have shown dramatic improvements in energy conversion or storage materials for applications in solar cells, supercapacitors as well as potential electromagnetic shielding (EMI) capabilities. Technologies that enable conductivity in polymer composite systems are also of major interest to defense, aerospace and automotive industries. The potential applications as lightning dampening mechanisms along with heat dissipation are key factors in the increased interest. Therefore, a silver decorated graphene was synthesized and used to fabricate a conductive polymer composite material toughened with biodegradable plasticizers. A novel method using the thermodynamics of autogenic pressure reaction (APR) and isolating an inherent modifier compound consisting of C18, C16, and C14 allotropes was performed to fabricate graphene nanoparticles. Microwave (CEM) synthesis was used to synthesize grapheme/Ag (SG/Ag) nanoparticles. The X-Ray Diffraction (XRD) curves show distinctive crystalline peaks attributed to graphene. Energy Dispersive X-Ray Spectroscopy (EDS) also confirms the presence of all elements related to the graphene and silver. Assessment of the electrical conductivity of the SG/Ag nanoparticles was performed using the Hall Effect analysis. Results showed that the material was conductive and shown by the increase in conductivity up to 3 orders of magnitude. Transmission Electron Microscopy (TEM) shows the layered graphene sheets present as well as the Ag nanoparticles attached on the surface. A polymer composite was then fabricated using a bio-modified epoxy system. Quantitative thermal, electrical and mechanical tests were conducted to characterize and assess material properties.
9:00 AM - RR15.17
AC/AB Stacking Boundaries in Bilayer Graphene
Junhao Lin 1 2 Wu Zhou 2 Wenjing Fang 3 Andrew R Lupini 2 Juan Carlos Idrobo 2 Jing Kong 3 Stephen J Pennycook 2 1 Sokrates T Pantelides 1 2
1Vanderbilt University Nashville USA2Oak Ridge National Lab Oak Rdige USA3Massachusetts Institute of Technology Cambridge USA
Show AbstractBilayer graphene is a promising candidate for nanoelectronic devices due to the possibility of opening the band gap using a vertical electric field. Besides the well-studied grain boundaries which lead to domains with different orientations, a novel type of boundary can also be present within oriented domains having different stackings, i.e., AB and AC stacking. Visualizing the morphology and identifying the atomic structure of these unique boundaries are important for revealing their contribution to the properties of bilayer graphene.
In this study, we combine dark-field (DF) transmission electron microscopy (TEM) and scanning transmission electron microscopy (STEM) imaging to systematically study the stacking boundaries in bilayer graphene, with a length scale from discrete atoms to the macroscopic continuum. Supplementing the images by density functional theory (DFT) and classical molecular dynamics calculations, we demonstrate that the AB/AC stacking boundaries in bilayer graphene are not atomically sharp, but are continuous strained channels extending over a width of several nanometers [1]. These strained channels (stacking boundaries) are mostly in the form of ripples, producing smooth low-energy transitions between the two different stackings. Our results provide a new understanding of these novel stacking boundaries in bilayer graphene, which may be applied to other layered two-dimensional materials as well.
Reference:
[1] J. Lin et al. Nano Letters, doi: 10.1021/nl4013979 (2013).
This research was supported by DOE grant DE-FG02-09ER46554 (JL,STP), a Wigner Fellowship through the Laboratory Directed Research and Development Program of Oak Ridge National Laboratory, managed by UT-Battelle, LLC, for the U. S. DOE (WZ), Oak Ridge National Laboratory's Shared Research Equipment (ShaRE) User Facility Program (JCI), which is sponsored by the Office of Basic Energy Sciences, U.S. DOE, the Office of Basic Energy Sciences, Materials Sciences and Engineering Division, U.S. DOE (ARL, SJP, STP) the National Science Foundation under award number NSF DMR 0845358 and the Army Research Laboratories (WJF and JK). This research used resources of the National Energy Research Scientific Computing Center, which is supported by the Office of Science of the US Department of Energy under Contract No.DE-AC02-05CH11231.
9:00 AM - RR15.20
Thermodynamics and Kinetics of Vacancy in C-BN Heterostructures
Bin Ouyang 1 Jun Song 1
1McGill Univesity Montreal Canada
Show AbstractTwo-dimensional C-BN heterostructures have attracted significant research attention as they enable the development of novel bandgap-engineered and thermomechanical tunable materials for nanoelectronic devices. Vacancies, a prevailing form of defects in low-dimensional nanomaterials, have significant influence on material properties.. The role of vacancies in the C-BN heterostructure is further complicated considering their interactions with graphene/hexagonal boron-nitride (h-BN) interfaces. In the present study, density functional theory (DFT) calculations are performed to examine the energetics of vacancies at zigzag and armchair graphene/h-BN interfaces. Meanwhile the migration kinetics of vacancies at interfaces is investigated via the nudged elastic band method. This study clarifies the interplay between vacancies and graphene/h-BN interfaces, and provides critical information on quantitatively analyzing defect configurations in C-BN heterostructures.
9:00 AM - RR15.21
Understanding Initial Dissociation of Carbon Source Molecules on Metal Surface during CVD Growth of Graphene: Ab Initio Molecular Dynamics Simulation
Yasushi Shibuta 1 Rizal Arifin 2 Kohei Shimamura 2 3 Tomoya Oguri 1 Fuyuki Shimojo 2 Shu Yamaguchi 1
1The University of Tokyo Tokyo Japan2Kumamoto University Kumamoto Japan3Kyushu University Fukuoka Japan
Show AbstractThe growth mechanism of carbon nanotubes and graphenes has been widely discussed from both the experimental and computational points of view. At the present, most of the numerical studies focusing on the growth process of carbon nanotubes and graphenes employs isolated carbon atoms and therefore ignores the initial dissociation process [1]. Under such circumstance, we have investigated the dissociation of methane molecules on the nickel(111) surface using the ab initio molecular dynamics simulation in order to discuss the initial stage of graphene growth via a chemical vapor deposition (CVD) technique [2]. The methane molecule is dissociated into isolated carbon and hydrogen atoms via CH3 and CH fragment molecules with chemisorbing the nickel(111) surface. After the multistep dissociation, isolated carbon atoms are buried into the subsurface space between nickel layers via the diffusion through hollow sites. In the presentation, the role of initial dissociation process on the graphene formation will be discussed by comparison with our recent simulation of the ethanol dissociation on the nickel cluster [3], which investigates the growth of carbon nanotubes via a CVD process.
[1] Y. Shibuta, Diamond and Related Materials, 20 (2011) 334-338
[2] Y. Shibuta, R. Arfin, K. Shimamura, T. Oguri, F. Shimojo, S. Yamaguchi , Chem. Phys. Lett. 565 (2013) 92.
[3] T. Oguri, K. Shimamura, Y. Shibuta*, F. Shimojo, S. Yamaguchi, J. Phys. Chem. C 117 (2013) 9983.
9:00 AM - RR15.22
Advanced Transfer Methods for Residue Free Transfer of CVD Graphene
Inigo Martin Fernandez 1 Eeshan Sandeep Kulkarni 1 Chee Tat Toh 1 Orhan Kahya 1 Minmin Zhu 1 Barbaros Oezyilmaz 1
1Graphene Research Centre, National University of Singapore Singapore Singapore
Show AbstractGraphene growth via chemical vapour deposition (CVD) on a metal catalyst has proven to be an effective method for large area production and could enable large scale fabrication of graphene-based electronic applications. In this case, due to the non-compatibility of the metal catalyst with the device structure, it is necessary to include additional process steps for the transfer of graphene from the original metal catalyst to the device substrate. These transfer steps, which typically rely on a slow chemical etch of the metal catalyst and can result in an uncontrolled graphene-target substrate interface, are one of the main bottlenecks hindering the production of graphene-based electronic applications.
Here, we present an improved process for the transfer of CVD graphene with minimal residues. The process results in less residues from the metal catalyst on the graphene than the standard transfer process and, thus, achieves a cleaner graphene-target substrate interface. This results in graphene films with comparable electrical properties when compared to their traditionally etched counterparts. Our approach can be suitable for the industrial transfer of large area graphene.
9:00 AM - RR15.23
Vertical Organic Field Effect Transistors Using by Work Function Controlled Graphene as Source Electrode
Pok Ki Min 1 2 Min Wook Jung 1 2 Mina Kang 1 2 Sung Ho Kim 1 Sung Myoeng 1 Chong Yun Park 2 Ki Seok An 1 Jong Sun Lim 1
1Korea Research Institute of Chemical Technology Daejeon Republic of Korea2Sungkyunkwan University Suwon Republic of Korea
Show AbstractSolution-processed organic field effect transistors (OTFTs) have attracted considerable attention since their discovery due to their flexibility, low cost, and large area manufacture by continuous processing. However, the performance of OFETs with conventional structure is still poor, showing low current output and high working voltages in general. Some approaches have reported to overcome these disadvantages introducing the vertically architectural transistors. The vertical organic field effect transistors (VOFETs) with graphene as source electrode offers lower power consumption and higher current densities at low driving voltages without high-k dielectric. In order to enhance the electrical properties of VOFETs, the work function control of graphene electrode is required. In this work, we fabricated the polyethylenimine, 80% ethoxylated (PEIE) doped graphene-based VOFETs with 6, 13-bis(triisopropylsilylethynyl)pentacene (TIPS-pentacene)/polymeric blender films as soluble semiconductor material by solution shearing process. By controlling the initial Schottky barrier height, in order to prevent flowing the charge carrier between the source electrode and semiconductor at zero bias, we used to PEIE as a strong n-type dopant for graphene. The work function of graphene can be tuned significantly by spin-coating PEIE solutions on graphene sheets at different concentrations. The charge transfer between the graphene and PEIE was characterized by Raman spectroscopy, ultra-violet photoelectron spectroscopy and Hall measurement. Also, we characterized the electrical properties of VOFETs with source electrodes of various work functions that are Al, pristine graphene, and n-doped graphene.
9:00 AM - RR15.24
Surface Magnetic Behavior in Stable Graphene / Gan(0001) Surface
Jairo A. Rodriguez Martinez 2 Miguel J. Espitia Rico 2 3 Maria G. Moreno-Armenta 1 Noboru Takeuchi 1
1Universidad Nacional Autonoma de Mexico Ensenada Mexico2Universidad Nacional de Colombia Bogota Colombia3Universidad Distrital Francisco Josamp;#233; de Caldas Bogota Colombia
Show AbstractIn this work we present results of a theoretical study of the growth of graphene on GaN(0001) surfaces. The calculations were performed by Density Functional Theory calculations. We have first considered the adsorption of a single carbon atom in the following sites: directly over the gallium (T1), on a nitrogen atom (T4), in the center of the triangle formed by the gallium without nitrogen underneath (H3) and between two gallium atoms (Br). The total energy calculations find that H3 is the preferred adsorption site and T1 is the most unfavorable one. Calculations of the carbon diffusion along the T1-T4-Br-H3-T1-Br-T1 path show that T4 is a local minimum and Br is a saddle point. The energy barrier for the carbon diffusion from T4 toward H3 trough Br is approximately 1.3 eV. The carbon adsorption on a H3 site presents a magnetic moment of 1.0 Bohr magnetons (mu;B) per unit cell. The total energy of a full carbon monolayer on H3 sites is not favorable compared with the energy of the GaN(0001) clean surface or with the energy of the most stable GaN(0001) reconstruction under Ga rich conditions, the contracted double bilayer proposed by Northrup et al.1. Therefore, we have tried around 50 different models of reconstructions with different periodicities and mismatches with the GaN(0001) substrates.
Two models emerged as the most favored: for Ga poor conditions a 3sqr3x3sqr3 graphene structure on top of a 4x4(0001)GaN surface with a -1.10% mismatch and for Ga rich condition a sqr21xsqr21 graphene structure on top of a 2sqr3x2sqr3 GaN(0001) double bilayer. The gallium rich model exhibit a magnetic moment of 0.19 Bohr magnetons/cell whereas the stable structure for Ga poor conditions does not presents magnetic behavior.
Acknowledgement: Calculations were performed in the DGCTIC UNAM supercomputing center.
References
1. Northrup, J. E.; Neugebauer, J.; Feenstra, R. M.; Smith, A. R. Phys. Rev. B 2000, 61, 9932-9935
9:00 AM - RR15.25
Theorethical Simulations of a Four Layered Graphene
Maria G. Moreno-Armenta 1 David Pullman 2
1Universidad Nacional Autonoma de Mexico Ensenada Mexico2San Diego State University San Diego USA
Show AbstractSince the eighties graphite has been used as a standard for STM (Scanning Tunneling Microscopy) calibration; however, some discrepancies still exist in what is probed by STM. More recently the exigency of a deeper knowledge has arisen due to the interest in graphene.
Our calculations were based on the planewave periodic density functional theory and the Tersoff-Hamann formalism for simulating STM images1. Through a systematic study of the displacements of the first layer in slabs four layers thick, we were able to show why the symmetry switches from trigonal to hexagonal patterns back and forth in the same sample2. The energy barrier for shifting from the ABAB, common stacking to the ABC was determined. Also we discuss the discrepancies in the shape of the spots encountered between the experiment and the theoretical computations. Our results suggest that the elongated spots often seen in experimental images arise from pi-orbitals or distorted p-orbitals that are located between carbon atoms rather than above them. Finally, we conclude from very precise calculations using a dense k-point mesh (96x96) that the Tersoff-Hamann approximation overcounts the number of contributing electronic states relative to what STM appears to probe in many experiments. This effect may be due to nonideal tips.
Acknowledgement
M. Moreno thanks UNAM -DGAPA for her sabbatical funding support. The authors thank the U.S. Army Research Office for equipment support (W911NF-10-1-0157).
References
1. P. Gianozzi, et al., J. Phys.: Condens. Matter, 21, 395502, 2009.
2. P. Xu, Yurong Yang Carbon, 50, 4633-4639, 2012.
9:00 AM - RR15.26
Enhancing the Efficiency of a PEM Hydrogen Fuel Cell with Synthesized Metal-Nanoparticle/Graphene Composites Synergy
Rebecca Isseroff 1 3 Benjamin Akhavan 2 Cheng Pan 1 Harry Shan He 1 Jonathan Sokolov 1 Miriam Rafailovich 1
1SUNY Stony Brook Stony Brook USA2Rambam Mesivta High School Lawrence USA3Lawrence High School Cedarhurst USA
Show AbstractObstructing commercialization of Proton Exchange Membrane Fuel Cells (PEMFC) is the soaring cost of platinum and other catalysts used to increase membrane efficiency. The goal of this investigation is to find a relatively inexpensive catalyst for coating the membrane and enhancing the efficiency of the PEMFC. Graphene oxide was reduced using NaBH4 in the presence of metal salts, primarily KAuCl4 and K2PtCl4, to synthesize metal-nanoparticle/graphene. FTIR and Thermogravimetric Analysis indicated the successful synthesis of graphene, while Transmission Electron Microscopy displayed the presence of nanoparticles on graphene sheets. Nafion® membranes were coated with metal-nanoparticle/graphenes and tested in an experimental PEMFC alongside bare Nafion®, Gold (Au) nanoparticles, and graphene. The metal-nanoparticle/graphene composites enhanced the PEMFC compared to bare Nafion®. Au-Graphene, the best catalyst composite, increased the efficiency up to 150% better than nanoparticles or graphene alone while using only 1% of the concentration of Au nanoparticles. Theoretical power output of the Au-Graphene synergy could increase fuel cell efficiency up to 18 times more than the Au-nanoparticles themselves by altering concentrations of Au nanoparticles in Au-Graphene. The Au nanoparticles changed the structure and catalytic ability of graphene in the Au-Graphene, offering a promising future for PEM fuel cell technology.
9:00 AM - RR15.27
Increasing Efficiency of Organic Polymer Solar Cells through Incorporation of Gold-Functionalized Reduced Graphene Oxide and Sulfonated Polystyrene
Rebecca Isseroff 1 2 Krishana Raghubeer 2 Andrew Chen 3 Hongfei Li 1 Jonathan Sokolov 1 Miriam Rafailovich 1
1SUNY Stony Brook Stony Brook USA2Lawrence High School Cedarhurst USA3Rice University Houston USA
Show AbstractThe random network of donor and acceptor materials in bulk heterojunction solar cells makes it difficult for separated charge carriers to efficiently reach their respective electrodes. If a more organized structure can be created, the mobile charge carriers would no longer have to navigate through a network of electron-accepting and electron-donating materials to reach the electrodes, thereby preventing charge recombination and increasing charge transport. Previously we demonstrated that gold-functionalized reduced graphene oxide (AuRGO) added to the P3HT:PCBM active layer increased the solar cell efficiency approximately 50% over the control. In addition, the incorporation of polystyrene into the active layer has been shown to introduce ordered columnar structures which create a direct conduit through which charges can flow. In this investigation we now use the same affinity between gold and sulfur to bond AuRGO sheets to sulfonated polystyrene and then incorporate the bonded composite into the active layer to produce an organized and conductive columnar structure. Incorporating sulfonated polystyrene into the active layer with and without AuRGO is evaluated for solar cell efficiency. In addition, since graphene oxide (GO) added to the PEDOT:PSS layer has previously been shown to increase efficiency, gold-graphene oxide (AuGO) is now added and tested. And, RGO and AuRGO are examined as possible replacements for the aluminum cathode of the solar cell to circumvent the problem of aluminum's oxidation.
9:00 AM - RR15.28
Unipolar Switching Behavior in Highly Crystalline Hexagonal Boron Nitride
Nikhil Jain 1 Robin Jacobs-Gedrim 1 Bin Yu 1
1State University of New York at Albany Albany USA
Show AbstractWe observed resistive switching in highly crystalline layered insulator hexagonal boron nitride (h-BN) under electric field in a nano-device configuration. Two distinct resistive states were observed in the 2D material heterostack. Electrical characterization using capacitance-voltage approach further revealed the role of h-BN as the active switching element. The switching behavior could be attributed to substitutional doping of h-BN under electric field present in the active region, possibly resulting in the formation of multi-element complex in which electrical conductivity depends on the amount of substituted dopant in the boron nitride crystal lattice. Since switching is observed independent of the direction of electric field, it is unipolar in nature. The observed memristance phenomenon in layered insulator can be potentially used in the form of NVM, providing possible direction to implement information storage or reconfigurable logic applications.
9:00 AM - RR15.29
Decorating Gold Nanocrystals on Reduced Graphene Oxide Surface: Synthesis and Formation Mechanism
Sanjeeva Rao Kodepelly 1 Hsun-Wei Cho 2 Jih-Jen Wu 2 Jyh-Ming Ting 1 Yury Gogotsi 3 Masahiro Yoshimura 1
1National Cheng Kung University Tainan Taiwan2National Cheng Kung University Tainan Taiwan3Drexel University Philadelphia USA
Show AbstractRecently, the research in the field of graphene, a two-dimensional, π-networked material has significantly developed due to its fascinating electronic, optical and mechanical properties. The excellent properties as well as large surface area, chemical stability and low cost, make the graphene as a potential partner for synthesis of diverse hybrids. To date, several surface modified graphene-based hybrids have been successfully produced and are greatly stimulated due to their promising properties in diverse applications ranging from batteries, supercapacitors, fuel cells, photovoltaic devices etc. Recently research on reduced graphene oxide-gold nanocrystal (RGO-AuNC) hybrids is centered. In those studies, effects of reducing agents, surfactants, stabilizers and/or capping agents have been demonstrated phenomenologically, however their real effects have not well understood yet.
We have proposed the formation mechanism of those hybrids based upon the polymerization of complexed Au species in the precursor solution and/or on the surface of RGO. The formation mechanism of RGO-AuNC hybrids is proposed by considering a combination of our experimental results and existed literature. Understanding the formation mechanism of RGO-AuNC hybrid is a major challenge and also an important prerequisite for morphology manipulations of nanomaterials. A systematic study on concentration-driven, size-controlled synthesis of AuNCs on the surface of RGO sheet has been evaluated and the resulted hybrids contaminate with gold nanowire networks (GNWNWs) and gold agglomerates (GAMs). However the hybrid contains AuNCs with a mean diameter of 2.5 nm is free of contaminants. We believe that this proposed novel model is more reasonable for understanding the formation mechanism of RGO-AuNC hybrid.
9:00 AM - RR15.30
Engineering Band Gap of CVD-Graphene by Chemical Modification Method
Luan Van Nguyen 1 Loc Dinh Duong 1 Young Hee Lee 1
1Institute for Basic Science (IBS) Suwon Republic of Korea
Show AbstractRecently, in order to replace conventional silicon for transistor, other materials are attracting for scientist. Graphene is one the most attractive candidate for scientist due to its unique properties. Pristine single layer graphene (SLG) has exceedingly high mobility, which is ~4,000-20,000 cm2/Vs for typical devices supported on Si/SiO2 substrates, and may reach as high as 250,000 cm2/Vs in suspended devices at room temperature. However, the absence of a band gap, which is necessary for digital electronics, presents a technological challenge. In order to overcome that challenge, several kinds of graphene which has band gap such as graphene nanoribbon, graphene nanomesh, or bilayer graphene has been investigated and reported so far. However, the method to fabricate such kinds of graphene requires complicate processes. Transistor base on bilayer graphene operates under high electric field, low on-current in transistor using graphene nanoribbon and nanomesh caused by edge scattering effect. Among them, chemical modification method is probably easiest way to engineering band gap of graphene. We investigated a nondestructive method of forming stable oxidation of graphene by UV-light irradiation and m-CPBA. I-V characteristic of functionalized graphene by m-CPBA show higher current density and on-off ratio compare to those of functionalized graphene by UV-light. According to XPS data, UV-light irradiation induced both epoxide and hydroxyl group decorate on graphene plane while m-CPBA induce only epoxide group. And simulation data reveals that hydroxyl group caused trap and mid-gap state while epoxide does not, those states reduced significantly current density of transistor. The transport mechanism of this transistor was also investigated by measuring I-V at low temperature. Based on these results, we concluded that m-CPBA is the best candidate for functionalize graphene, this chemical modification is the easiest method and suitable for applying graphene based transistor with high current density and on-off ratio.
9:00 AM - RR15.31
Sensitivity Limits and Scaling of Bioelectronic Graphene Transducers
Zengguang Cheng 1 Junfeng Hou 1 Ying Fang 1
1National Center for Nanoscience and Technology Beijing China
Show AbstractSemiconducting nanomaterials are being intensively studied as active elements in bioelectronic devices, with the aim of improving spatial resolution. Yet, the consequences of size-reduction on fundamental noise limits, or minimum resolvable signals, and their impact on device design considerations have not been defined. Here, we address these key issues by quantifying the size-dependent performance and limiting factors of graphene (Gra) transducers under physiological conditions. We show that suspended Gra devices represent the optimal configuration for cardiac extracellular electrophysiology in terms of both transducer sensitivity, systematically 5× higher than substrate-supported devices, and forming tight bioelectronic interfaces. Significantly, noise measurements on free-standing Gra together with theoretical calculations yield a direct relationship between low-frequency 1/f noise and water dipole-induced disorders, which sets fundamental sensitivity limits for Gra devices in physiological media. As a consequence, a square-root-of-area scaling of Gra transducer sensitivity was experimentally revealed to provide a critical design rule for their implementation in bioelectronics.
9:00 AM - RR15.33
Enhanced Performance of Organic Transistors and Complementary Inverters Using Monolayer Graphene Electrodes
Yong Jin Jeong 1 Jaeyoung Jang 1 Kyunghun Kim 1 Lae Ho Kim 1 Seonuk Park 1 Seyeol Park 1 Chan Eon Park 1
1postech Pohang Republic of Korea
Show AbstractOrganic field effect transistors (OFETs) have recently developed from a laboratory curiosity to a commercially viable technology due to potential application in low-cost, low-processing temperature, and large-area electronic products. The key point of commercializing organic FETs is the realization of the complementary inverters that are essential for high density integrated circuit. However lower performance levels of n-type OFETs than p-type OFETs are still serious problem to be commercialized. One of the origins of low performances of n-type OFETs is the poor electron injection into the lowest unoccupied molecular orbital (LUMO) level of the semiconductor, thus providing high contact resistance
In this study, we introduced a monolayer graphene electrode doped with self-assembled monolayers (SAMs) for the fabrication of high performance n-type annealed PTCDI-C13 FETs and the organic complementary inverters. The electron injection barrier decreased from 1.12eV to 0.23eV when graphene is doped with SAMs. Using this graphene electrode, we get n-type OFETs which have field effect mobility of 0.399cm2/Vs and on/off ratio of 105 with reduced contact resistance. In addition, we have demonstrated a high performance organic complementary inverters including pentacene and annealed PTCDI-C13 FETs using SAMs patterning process via UV ozone treatment.
9:00 AM - RR15.34
Ion Sensitivity from Back Gated Graphene Field Effect Transistor
Ramy M Qaisi 1 Casey E Smith 1 Muhammad Mustafa Hussain 1 Aftab Hussain 1
1King Abdullah University of Science and Technology Thuwal Saudi Arabia
Show AbstractComprised of a single layer of carbon with every atom on its surface, graphene is a 2D material where its whole volume is exposed to surface adsorbents, resulting in a change in its conductivity making it a unique platform for sensing applications [Nature Mater. 6, 652 (2007)]. A graphene field effect response is obtained through direct exposure to aqueous media or by top gating through electrolyte solution. Here, we report on the electrical behavior of the as transferred graphene to SiO2 substrate in deionized (DI) water and NaCl. The measurements were taken after dipping the sample in DI water and NaCl/DI water respectively. Instead of using top gating via “solution gating”, we show that graphene can be used as ion sensitive graphene field effect transistor via back gating which requires no further treatment or fabrication processes. Graphene film was grown using atmospheric pressure chemical vapor deposition approach. Then, the films were transferred to SiO2 on heavily doped silicon substrate (used as gate) using PMMA [Appl. Phys. Lett. 102, 18 (2013)]. Next Au/Ti contact pads were used as source/drain. Upon exposure of liquids we observed the neutrality point shifted negatively. Dirac voltage increased from 15 V to 23 V after dipping the sample for a second. Then followed by a continued decrease with time until it reached 22 V after 15 seconds. This shift is due to electrostatic fields induced by the H2O dipole moments and results in hole doping of the graphene. The change of dirac voltage is estimated to be about 50 % after one second then it starts decreasing as graphene surface adsorbed more H2O molecules. We observed that the value of the current tends to saturate as time increased. This phenomenon comes in agreement with what has been observed in [Yavari et. al, Small, 22, 2010]. However, the time response could be attributed to substrate graphene interface property. The effect of H2O adsorbents on graphene surface is investigated for different gate lengths. It is observed that dipping the sample in DI water would affect graphene channel instead of graphene edges as the percentage change of 10 V gate over drive resistance is increasing with time. Different sample used in NaCl solution to measure ion sensitivity for different concentrations. Id-Vg curves show an Ion/Ioff ratio of 2.5 before dipping the sample in NaCl solution. The ratio decreased with increasing the concentration of NaCl as we introduce more ions that affect the conductivity of graphene channel. Dirac voltage decreased monotonically from 32 V to 10 V. Since there is only a negative shift in Vdirac, graphen surface is more sensitive to Na+ ions rather than H+ ions. Also, the pragmatic change in Id and Vd for different gate lengths suggests that the effect occur in graphene channel and graphene edges. Future work includes the investigation of graphene back gated transistor response to different water contaminant to realize graphene sensor for water quality monitoring.
9:00 AM - RR15.36
Improved Mechanical and Electrical Properties of Carbon Nanotube and Graphene Mats Networked via Covalent Crosslinking
Honggu Kim 1 Woong-Ryeol Yu 1
1Seoul National University Seoul Republic of Korea
Show AbstractCarbon nanotubes (CNTs) and reduced graphene oxide (rGO) have excellent mechanical, thermal, and electrical properties, offering many potential applications including structural composites, thermal interfaces, energy storage, artificial membranes, etc. For such marvelous and individual properties to be realized in macroscale, CNTs and rGO need to be assembled into macroscopic objects, among which yarn and mat form are highly preferable because they are readily handled and directly utilized into various applications. Note that a yarn is referred to 1D macroscopic assembly of CNTs or rGO oriented toward the yarn axis whereas a mat is to their planar and random assembly. Here, we report the improved mechanical and electrical properties of CNT and rGO mats, especially when they are networked each other via covalent bonding.
A simple processing method without high temperature and pressure is used to crosslink CNTs. First, aminated CNTs (CNT- NH2) are prepared. Benzoquinone is chosen as cross linkers because its short molecular and conjugated bond can enhance the electrical conductivity. Two compounds in solution are then mixed to react under acidic environment. Finally, the CNT suspension is filtered through a filter paper using vacuum, resulting in networked CNT mats (so called bucky-paper). A similar procedure is also applied to rGOs, producing networked rGO mat. Lastly, the hybrids of aminated CNTs and rGO via the covalent bonding are pursed using the reaction scheme used for CNTs or rGO alone. In this hybide, an optimum ratio of two compounds is investigated through the following properties characterization.
The mechanical properties of networked CNT and rGO mats are measured by Dynamic Mechanical Analyzer, demonstrating that their tensile strengths are improved via crosslinking twice higher than those of un-networked CNT and rGO mats. The electrical properties of CNT and rGO mats are also characterized, which will be presented in detail at the Conference.
9:00 AM - RR15.37
Mass Production of High-Quality Nanographene Using Circulating Supercritical Fluid Treatment System
Takaaki Tomai 1 Itaru Honma 1
1Tohoku University Sendai Japan
Show AbstractNanometer-sized graphene, nanogrphene, has attracted much attention due to its unique characteristics and has been applied to nanoelectronics devices in the form of nanometer-sized interconnect and quantum dot.
Recently, we developed the rapid production methods for nanographene using supercritical fluid. In this method, the starting materials, graphite, are first immersed in supercritical fluids (SCFs) and then subjected to rapid depressurization (quenching). It is supposed that during such process, SCF diffusion between the graphene layers and/or thermal shock peel graphene sheets off. Using this method, high-quality graphene can be obtained without acid oxidation. Moreover, we had revealed that the intermittent heating and quenching procedures enhances exfoliation efficiency. [T. Tomai et al., Appl. Phys. Lett. 100, 233110 (2012).].
In this study, we built the continuous flow-type reactor for the mass production of nanographene. Ethanol, in which graphite powder disperses, is injected into the heated reactor at 10 ml/min by a high-pressure pump. The temperature inside the reactor is 400 degreeC. After passing through the heated region for 80 s, the ethanol turns into supercritical fluid condition. The supercritical ethanol flows to a cooling region, where it is quenched to the room temperature, and then collected in the bottle. By connecting the cooling region to the high-pressure pump, circulation system can be formed.
The treated sample shows the shift of 2D Raman band to the lower-wavenumber side, which corresponds to the decrease in the layer number of graphene, and the tendency becomes more significant when the frequencies of circulations increase. Assuming the sample showing 2D band below 2685 cm-1 as monolayer graphene, the existence frequency of monolayer graphene in the sample obtained by 12-times circular treatments was estimated to be approximately 30%, and it reached to 90% for the sample by 48-times circular treatments. This scalable circulation system for SCF treatment facilitates the mass production of high-quality nanographene.
9:00 AM - RR15.38
Selective Formation of Lipid Membranes on Graphene Films by Surface Engineering of Support Substrates
Kenji Yamazaki 1 Toshio Ogino 1
1Yokohama National University Yokohama Japan
Show AbstractGraphene, a single layer carbon film, is promising materials for bio-applications such as various kinds of sensors due to its two-dimensionality and unique electronic properties. It is very important for graphene bio-devices to reveal the properties of graphene films in liquid environment because we often detect the target molecules by the adsorption to the graphene surfaces in aqueous solutions [1]. In this paper, we present the effect of support substrate in liquid environment on the properties of graphene films and reveal how the support substrate properties penetrate into the graphene films.
We used sapphire for support substrates of graphene films. The sapphire substrates were sonicated in pure water for 5 min and cleaned with an H2SO4-H2O2 mixed solution at 90 °C for 10 min to remove organic contamination. We deposited graphene films to the sapphire surfaces by the mechanical exfolia-tion method. We observed the graphene films and the sapphire surfaces by AFM (atomic force microscopy) in a buffer solution. To reveal graphene properties in liquid environment, we formed lipid membranes on the graphene-deposited sapphire substrates by the vesicle fusion method. Lipid membranes uniformly formed on the graphene surfaces that were supported by the randomly-stepped surfaces. Since graphene is a hydrophobic material, the lipid monolayer should be formed. Experimentally, height of the lipid membrane was about 2.0 nm, which is similar to the theoretical length of a lipid molecule. Therefore, lipid monolayers on the graphene surfaces were densely packed each other. In the case of chemically phase-separated sapphire surface for the support substrate [2], lipid membranes selectively formed on the graphene films that were supported on the hydrophilic domains of the initial sapphire surfaces. The lipid membrane formation is dramatically influenced by the hydrophilicity of the support substrates under the graphene films. Its formation area was strongly depends on the kinds of lipid molecules. Generally, the phase transition temperature of lipid membrane depends on the conformation of hydrophobic parts of the lipid molecules. When we used a lipid molecule with a higher transition temperature, the lipid membrane formed entirely on the graphene surface without regard for distribution of hydrophilicity of the support substrate.
We observed site-selective formation of lipid membranes on graphene films. Through lipid layer formation, we can exhibit the important fundamental insights about the interfaces between the graphene and the lipid vesicles. The effect of the support substrate on the surface chemistry of graphene films is one of the key factors that should be considered for well-controlled graphene systems.
[1] Y. Ohno, et al., J. Am. Chem. Soc., 132 (2010) 18012.
[2] T. Isono, et al., Surf. Sci., 604 (2010) 2055.
9:00 AM - RR15.39
One-Pot, Eco-Friendly and Low-Cost Synthesis and Selective Functionalization of Graphene Flakes with Pt Nanoparticles for Electro-Catalysis Applications
Raffaello Mazzaro 2 1 Luca Ortolani 1 Giacomo Bergamini 2 Paola Ceroni 2 Massimo Baroncini 2 Vittorio Morandi 1
1CNR - National Research Council Bologna Italy2Alma Mater Studiorum - University of Bologna Bologna Italy
Show AbstractHybrid carbon-metal nano-materials attracted recently a huge interest due to their wide range of applications as sensors or as heterogeneous catalysts [1]. In these fields, among carbon nano-materials, graphene is extremely interesting in particular for its high conductivity and the extreme surface area, that are both ideal properties, for example, for electro-catalysis. Nevertheless, the inert surface and the low solubility of graphene flakes, make its synthesis and decoration with metal nanoparticles rather complicated. To overcome these difficulties, graphene oxide is frequently used [2], thanks to its higher solubility and to the possibility to easily functionalize its surface, but. graphene oxide, even if reduced, lacks for the high electron mobility of pristine graphene. Recently, solution-phase exfoliation methods of bulk graphite have been developed, leading to the production of highly conducing and structurally untouched graphene flakes in solutions and suspensions. One of these methods allows to exfoliate graphene in water using a “molecular wedge”, the 1-Pyrene carboxylic acid (PCA) [3]. This molecule is capable to interact with graphene by π-π stacking through its polyaromatic moiety, while the carboxylic polar group enables graphene&’s solubility in a polar solvents like water.
In our approach, the carboxylic group is used as an anchor point for the decoration of graphene&’s surface, otherwise inert. The PCA grafted on the graphene surface is therefore used to stabilize platinum nanoparticles, due to the negative charge of the deprotonated form. In this contribution, we will present the results of the selective functionalization with platinum nanoparticles of graphene flakes exfoliated in solution, using a one-pot, eco-friendly and low-cost synthesis. The produced graphene flakes have a width of microns, and are composed of less than 10 layers, homogeneously decorated by Pt nanoparticles with a diameter below 5 nm. The hybrid graphene-nanoparticles system has been extensively studied by Transmission Electron Microscopy and optical spectroscopies. We will show that conductive films can be easily produced from these solutions, using different techniques, like soft lithography or filtration, to achieve active layers for sensing and electro-catalysis applications.
[1] Antolini, E. Applied Catalysis B: Environmental 88, 1-24 (2009).
[2] Zhuo, Q., Ma, Y., et al. Inorganic chemistry 52, 3141 (2013).
[3] An, X., Simmons, T, et al.. Nano Letters 10, 4295. (2010)
9:00 AM - RR15.41
Large-Area Graphene from Catalytic Metals to Arbitrary Substrates by Electrochemical Delamination and Transfer Printing
Gabriele Fisichella 1 2 Raffaella Lo Nigro 1 Fabrizio Roccaforte 1 Sebastiano Ravesi 3 Filippo Giannazzo 1
1CNR-IMM Catania Italy2University of Catania Catania Italy3STMicroelectronics Catania Italy
Show AbstractGraphene growth on catalytic metals (Cu, Ni, Pt, Ru,hellip;) by CVD followed by transfer currently represents one of the most viable roots to large area graphene-based electronics. Beyond catalyst and growth condition optimisation, transfer represents a critical step of this approach, with a strong impact on the final quality and uniformity of graphene on the target substrate. The typically adopted method to separate graphene from the growth substrate, i.e. chemical etching of the metal (typically in FeCl3 for Ni or Cu) while using a sacrificial polymer film (typically PMMA) as the graphene support, presents several drawbacks (metal contaminations remaining from the metal substrate and/or from the etchant, polymer residues on graphene) and limitations (difficult or not possible etching in the case of noble metal substrates). Furthermore, metal waste by etching raises the process cost in view of an industrial scale-up, so that approaches for reusing the metal substrate in several growth cycles are highly desirable.
Recently, graphene has been effectively separated from the growth substrate without metal etching, using the mechanical action of hydrogen bubbles generated at graphene/metal interface [1] in an electrochemical process using electrolytes like NaOH and KOH [2]. This approach potentially solves the metal contaminations issues, allows reusing the substrate for unlimited number of growth, without limitations to the kind of catalytic metal.
In this work, the influence of the critical parameters involved in the electrochemical delamination (such as the electrolyte molar concentration, the cell overvoltage,..) on the structural and electrical quality of transferred graphene was investigated. An optimised transfer-printing method, allowing a fine control of pressure and temperature ramps applied between the polymer/graphene stack and the target substrate, was developed for graphene transfer on different substrates, such as SiO2, Si, GaN, AlGaN, SiC or soft materials (PEN). Furthermore, specific functionalization/derivatization treatments [3] have been developed to optimise the graphene adhesion on each kind of substrate. Different combinations of support polymers and solvents (for final graphene cleaning) have been considered. The nanoscale morphological and electrical properties of the transferred graphene will be investigated by advanced scanning probe techniques [4], the structural and chemical properties by atomic resolution STEM and EELS [5]. Finally, the average electrical properties on large area will be tested by electrical measurements on proper device structures (FETs, TLM and VdP structures).
[1] Y. Wang, et al., ACS Nano 5, 9927-9933 (2011).
[2] C. J. L. de la Rosa, et al., Appl. Phys. Lett 102, 022101 (2013).
[3] G. Fisichella, et al., Beilstein J. Nanotechnol. 4, 234-242 (2013).
[4] F. Giannazzo, et al., Nano Lett. 11, 4612-4618 (2011).
[5] G. Nicotra, et al., ACS Nano, 7, 3045-3052 (2013).
9:00 AM - RR15.42
On the Effect of Grain Boundaries on the Electronic and Transport Properties of Graphene
Watheq Zako Elias 1 Martin Elliott 1 Clarence Matthai 1
1Cardiff University Cardiff United Kingdom
Show AbstractIn studying large scale graphene structures it is necessary to consider the grain boundaries between the many single-crystal domains. The disruption of the crystallographic structure has consequences for both the electronic and transport properties. Although there has been much interest in this area in recent years, the size of system makes it difficult for ab initio methods to be applied to large structures and tight-binding models have provided some interesting results [1]. The semi-empirical Extended Huckel Theory has the advantage of being able to take account of charge reordering and to study very large systems. We have already applied this approach to studying electrical transport across organic molecules and carbon nanoribbons. In this paper, we report on the results of EHT self-consistent calculations carried out to investigate the effect of grain boundaries on both the electronic structure and the electrical transport. In particular, we examine the effect of novel defect induced gap states on the conductance.
[1] Song et al, PRB 86, 085437 (2012)
9:00 AM - RR15.44
Ab Initio Study of Electronic, Catalytic, and Intercalation Properties of Functionalized Mxene Monolayers
Yu Xie 1 Vadym Mochalin 4 Zhiyong Zhang 3 Paul Kent 1 2
1Oak Ridge National Laboratory Oak Ridge USA2Oak Ridge National Laboratory Oak Ridge USA3Oak Ridge National Laboratory Oak Ridge USA4Drexel University Philadelphia USA
Show AbstractThe study of two-dimensional (2D) materials is a topic of current interest, not only for their unique properties compared with their three-dimensional (3D) counterparts, but also for their important applications to industry and engineering. Very recently, a new family of 2D materials, labeled as MXenes, was prepared by the exfoliation of the layered ternary transition metal carbides,[1,2] which are known as MAX phase. The newly synthesized MXenes have good mechanical properties[2] and are promising candidates for Li-ion battery anodes and hybrid electrochemical capacitors[3,4]. Here, we present theoretical investigations of the electronic properties of MXenes (Tin+1Cn and Tin+1Nn) with different surface terminations.[5] We show that the electronic prop- erties of MXenes change dramatically when the thickness of the monolayers increase. The CO2 reduction reaction on MXenes surfaces through formate pathway and the intercalation of Li ions, and the dependence on both MXene and surface termination, are discussed and compared with our experiments. This work was supported as part of the Fluid Interface Reactions, Structures and Transport (FIRST) Center, an Energy Frontier Research Center funded by the U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences.
References:
[1] M. Naguib, M. Kurtoglu, V. Presser, J. Lu, J. Niu, M. Heon, L. Hultman, Y. Gogotsi, and M. W. Barsoum, Adv. Mater. 23, 4248 (2011).
[2] M. Naguib, O. Mashtalir, J. Carle, V. Presser, J. Lu, L. Hultman, Y. Gogotsi, and M. W. Barsoum, ACS nano 6, 1322 (2012).
[3] M. Naguib, J. Come, B. Dyatkin, V. Presser, P.-L. Taberna, P. Simon, M. W. Barsoum, and Y. Gogotsi, Electrochem. Commun. 16, 61 (2012).
[4] O. Mashtalir, M. Naguib, V. N. Mochalin, Y. DallAgnese, M. Heon, M. W. Barsoum, and Y. Gogotsi, Nat. Commun. 4, 1716 (2013).
[5] Y. Xie and P. R. C. Kent, Phys. Rev. B , accepted (2013).
9:00 AM - RR15.46
Role of Reactant Transport in the Mechanism of Graphene Oxide Formation
Ayrat M. Dimiev 1 2 James M. Tour 2 3 4
1AZ Electronic Materials Somerville USA2Rice University Houston USA3Rice University Houston USA4Rice University Houston USA
Show AbstractThe number of reports related to graphene oxide (GO) and its potential applications has grown dramatically during the last few years. Despite intensive research, the mechanism of GO formation remains unclear. The role of interfacial interactions between solid graphite and the liquid reaction media, and transport of the oxidizing agent were not hitherto well-addressed. In this work we show that formation of GO from graphite constitutes three distinct independent steps. The reaction can be stopped at every step and the corresponding intermediate products can be isolated, characterized and stored under appropriate conditions. The first step is conversion of graphite into stage-1 graphite intercalation compound (GIC). The second step is conversion of the stage-1 GIC into oxidized graphite which we define as the “pristine graphite oxide”. The third step is conversion of “pristine graphite oxide” into conventional GO after exposure to water. The second and the most intriguing step involves diffusion of oxidizing agent into the preoccupied graphite galleries. This rate determining step makes the entire process diffusion-controlled. We show that during this step the “pristine graphite oxide” is formed directly from the stage-1 GIC without any additional rearrangements in the graphite structure. Understanding of the described phenomena allows one to optimize conditions of GO production on an industrial scale and to tune the properties of the final GO product. Similarly, this provides insight to control the rate of oxidative unzipping of MWCNTs and the oxidation level of the resulting graphene nanoribbons.
9:00 AM - RR15.47
Macroscopic Tailoring of Two-Dimensional Layered Hexagonal Materials Using Simple Redox-Liquid Exfoliation
Vikram K. Srivastava 1 Ronald A. Quinlan 2 Alexander L. Agapov 1 3 Kimberly M. Nelson 1 Alexei P. Sokolov 1 3 Gajanan S. Bhat 4 Jimmy W. Mays 1 3
1University of Tennessee Knoxville USA2NSWCCD West Bethesda USA3ORNL Oak Ridge USA4University of Tennessee Knoxville USA
Show AbstractCurrently, the production of graphene and other 2D monolayered materials exhibiting excellent electrical, thermal, and mechanical properties in large quantities is a major focus in materials research. Here we present a ~99% yielding, sonochemical exfoliation process using solutions of precursor micron powders of graphite, boron nitride (BN), and molybdenum disulfide (MoS2) respectively in isopropanol, chosen due to commercial viability and good exfoliation of the powders. To reduce oxidation induced by sonolysis, butylated hydroxytoluene (BHT), (2,2,6,6-tetramethylpiperidin-1-yl)oxyl (TEMPO), or sodium bisulfite (SBS), were added prior to sonication in sealed containers to prevent loss of solvent. These solutions were sonicated under similar conditions, and compared to exfoliated controls (processed without antioxidant) to observe any differences in chemical and structural compositions. Exfoliated mesoscopic powders were characterized by TGA, TGA-MS, ATR-FTIR, XPS, Raman spectroscopy, XRD, HR-TEM, SEM, Dielectric spectroscopy, and BET measurements. TGA measurements of MoS2 exfoliated with SBS, after heating to 900°C in air, demonstrated increased resistance to oxidation with a residual sample weight of ~54%, while the exfoliated MoS2 control had a residual sample weight of ~15%. TGA-MS analysis of MoS2 exfoliated with SBS, heated to 900°C in UHP N2, generated ~5 times more CO2 gas at 900°C in comparison to the exfoliated MoS2 control. ATR-FTIR, XPS, and Raman spectroscopy confirmed the presence of residual non-covalently and possibly covalently bonded species, and Raman spectra showed changes in disorder and number of layers resulting from exfoliation in the presence of antioxidant. XRD analysis demonstrated better crystallinity for samples sonicated in the presence of antioxidant compared to control samples. HR-TEM and SEM images showed variations in structural characteristics, primarily folding (wrinkling), number of layers, and sheet lengths. Dielectric spectroscopy showed significant changes in d.c. conductivity and permittivity resulting from addition of antioxidants. Graphite exfoliated with antioxidants displayed d.c. conductivity values of 1496 S/cm (BHT) and 1250 S/cm (TEMPO), slightly lower than the value of 2050 S/cm measured for the exfoliated graphite control. BET measurements of N2 sorption showed increased adsorption for samples exfoliated in the presence of antioxidant. To determine changes in solvent dispersibility, solutions of mesoscopic products were measured by optical absorption. Stability of dispersions in CHCl3 were measured over 6 hours, and graphite exfoliated with TEMPO showed an increase in dispersed concentration by a factor of ~2.5 when compared to the exfoliated graphite control. Both chemical and physical characterization of the obtained exfoliated materials indicated that material properties could be significantly altered by simple addition of chemical reagents.
9:00 AM - RR15.48
Nitrogen Doped Graphene Produced by Reduction Expansion Synthesis (RES) and Its Use as Electrode in Energy Storage Devices
Rusell Canty 1 Edwin Gonzalez 3 Ryan Palaniuk 1 Hugo Ricardo Zea 2 Sebastian Osswald 1 Claudia C Luhrs 1
1Naval Postgraduate School Monterey USA2Universidad Nacional de Colombia Bogota Colombia3Hartnell College Salinas USA
Show AbstractSelf standing nitrogen doped graphene sheets were produced by reduction-expansion (RES) method, which utilizes graphite oxide (GO) and urea as precursor materials. Diverse amounts of urea were used to generate samples with different levels of doping.
Graphene samples were characterized by XRD, TEM, SEM, BET and Raman Spectroscopy. By XRD we observed a shift in the (002) peak position that could be correlated to the amount of nitrogen. Surface areas decreased as nitrogen amounts increased, while pore analysis revealed that the overall pore size is independent of the nitrogen content. Samples adsorption isotherms and desorption profiles were analyzed and correlated with structural features. Raman analysis shows the dependence of the D and G band relative peak intensity with the amount of urea used during sample preparation. TGA/DSC/MS analyses were conducted in inert, reducing and oxidizing atmospheres to determine thermal stability, identity and amount of nitrogen species formed as byproducts in hydrogen containing atmospheres, and TPO products respectively. Resulting nitrogen doped self standing graphene sheets were then tested as electrodes in supercapacitor and battery cells. RES method provided a pathway to generate nitrogen doped graphene by a process that is rapid, inexpensive and easy to scale up. A discussion of the effects of nitrogen functionalities inclusion in the graphene sheet structure, interlayer spacing, and properties is included.
9:00 AM - RR15.49
Novel In Situ Synthesis of 3D Graphene-CNF Electrodes for Supercapacitor Applications
Jason Downs 1 Edwin Gonzalez 3 Hugo Ricardo Zea 2 Justin Sahdeo 3 Dragoslay Grbovic 1 Claudia C Luhrs 1
1Naval Postgraduate School Monterey USA2Universidad Nacional de Colombia Bogota Colombia3Hartnell College Salinas USA
Show AbstractWe present a novel synthetic pathway for the generation of three-dimensional architectures whose main structural component includes the combination of Graphene (G) and Carbon Nanofibers (CNF). The Reduction Expansion Synthesis (RES) approach was used for both the exfoliation of Graphitic Oxide to produce Graphene and the simultaneous reduction of a nickel salt to generate Ni catalyst, indispensable for the subsequent fiber growth. The use of dry and wet conditions for the RES synthesis was explored and the variability of sample properties due to such changes was observed. Resulting composites contained Graphene/Carbon Nanofibers/Nickel nanoparticles and were characterized by X-ray diffraction, Scanning Electron Microscopy and BET surface area analysis. The materials where then used as electrodes in supercapacitor cells and the capacitance of the same were evaluated.
In order to compare the activity of G/CNF/Ni material when used as electrode in a supercapacitor cell with that of electrodes containing small amounts of a transition metal oxide, the composites were subject to an oxidative process that rendered G/CNF/NiO.
Surface areas for bare CNF samples were found to be approximately 85 m2/g, Graphene by itself presented 620 m2/g and the resulting G/CNF/NiO composite structures displayed large surface areas, with values close to 335 m2/g. The growth of Carbon Nanofibers within the Graphene layers prevented the collapse of the layers when the material was laid as a paste in the current collectors and increased both ion and charge transport between the Graphene sheets. Specific capacitance values of all the samples are reported.
9:00 AM - RR15.50
Thermally Stable Resistance Switching Memories Based on Sandwiched Structure of Graphene and Organic Polymer
Geetika Khurana 1 Pankaj Misra 1 Ram S Katiyar 1
1University of Puerto Rico, Rio Piedras San Juan USA
Show AbstractOrganic memory devices have been intensively researched as the next generation information storage components due to their simple fabrication, low cost, flexibility and fast switching time. Graphene has become an important material because of its transparency, superior charge carrier mobility, solution processability and ballistic transport. Graphene sheets embedded between organic polymers could be a potential candidate for next generation of stable non-volatile memory devices.
Graphene oxide (GO) obtained by Hummer&’s method was reduced by hydrazine to get graphene flakes dispersed in water. Polyvinylidene fluoride (PVDF) was dissolved in N-Methyl-2-pyrrolidone (NMP) by continuous stirring to get a clear solution. PVDF solution was spin coated on ITO/Glass substrate followed by spin coated graphene flakes and finally a layer of PVDF solution again on graphene flakes to get the sandwiched structure. Platinum top electrodes having diameter of 100µm were deposited by sputtering through a shadow mask. The I-V measurements were done using Keithley 2401 in top-bottom configuration at room temperature and also at high temperatures. Bipolar resistive switching behavior was observed in the sandwiched structured memory devices composed of graphene sheets and PVDF. The switching between the low resistance state (LRS) and high resistance state (HRS) showed a reliable and repeatable behavior with an on/off current ratio of ~103 tested for 100 cycles at room temperature. The RESET voltages were found to spread in the range of 4.5-5V whereas the SET voltages were in the range of 2.4-3V. Device showed metallic and semiconducting behaviors in LRS and HRS respectively when resistance was measured as a function of temperature in the temperature regime of 300-500K. Conduction mechanism was well fitted by the ohmic and the space charge limited conduction (SCLC) relationship in LRS and HRS respectively. The mechanism responsible for the switching behavior is believed to be due to the formation of conducting filaments as a result of the presence of trapping sites in graphene and in PVDF. The device exhibited excellent endurance in LRS and HRS maintaining the resistance ratio of ~103 up to 104sec. It is noteworthy that the on/off ratio of the device enhanced by 2 orders of magnitude when switching was performed at high temperature of 500K. Further experiments are underway to understand the high temperature switching behavior, conduction mechanism and enhance device performance. In summary, the sandwiched structure composed of graphene sheets and PVDF fabricated by very simple method has potential for development of thermally stable organic nonvolatile memory devices.
9:00 AM - RR15.53
Highly Efficient Method for Liquid-Phase Exfoliation of Graphite into Graphene Sheets
Michio Matsumoto 1 Yusuke Saito 1 Takuzo Aida 1
1The University of Tokyo Tokyo Japan
Show AbstractGraphene is just a one atom-thick flat sheet of carbon. For its large potential in device applications, graphene has attracted considerable attention, where reduced graphene oxide has mostly been utilized as an alternative of graphene because of its excellent dispersibility. However, graphene oxide is structurally defective and also costly for large-scale practical applications. Hence, development of efficient methods of exfoliating pristine graphite into graphene sheets has still been awaited. In 2003, we reported that bundles of single-wall carbon nanotubes are readily exfoliated, upon been ground in ionic liquids, affording gelatinous materials called "bucky gels" (Science 2003, 300, 2072-7074). Because of the simplicity and easiness of this exfoliation method, many applications have emerged so far for the fabrication of electronic devices such as dry electric actuator (Angew. Chem. 2005, 44, 2410-2413) and stretchable conducting materials (Science 2008, 321, 1468-1472 / Nature Mat. 2009, 8, 494-499). In the present paper, we report a highly efficient and quick method for the liquid-phase exfoliation of pristine graphite using molecularly engineered ionic liquids. By means of Raman spectroscopy, X-ray photoelectron spectroscopy, and transmission electron microscopy, graphene sheets thus obtained are substantially defect-free. This method can be applicable to the exfoliation of a large amount of graphite. In addition to the details of this procedure, we report some applications of well-dispersed graphene sheets.
9:00 AM - RR15.54
Applications of Mono- and Multi-Layer Graphene on Solar Cells
Jin Chu 1 Xiaoyan Peng 1 Peter Feng 1
1University of Puerto Rico San Juan USA
Show AbstractMono- and multi-layer graphene were synthesized on Si substrates with nickel catalyst using pulsed laser deposition technique. Different substrate temperature, cooling rate and laser power density were introduced to test the optimal growth condition for graphene fabrication. Scanning electron microscopy and transmission electron microscopy images showed different morphologies and layer structures under different growth conditions. Raman spectroscopy revealed the graphene formation. I-V measurements on obtained graphene exhibited their good conductivity which revealed their potential applications as transparent conductor for solar cells.
9:00 AM - RR15.55
Biologically Inspired Graphene-Chlorophyll Phototransistors with High Gain
Shao-Yu Chen 1 Yi-Ying Lu 2 Fu-Yu Shih 1 2 Po-Hsun Ho 2 Yang-Fang Chen 2 Chun-Wei Chen 2 Yit-Tsong Chen 1 2 Wei-Hua Wang 1
1Academia Sinica Taipei Taiwan2National Taiwan University Taipei Taiwan
Show AbstractWe present prominent photoresponse of bio-inspired graphene-based phototransistors sensitized with chlorophyll molecules [1]. The hybrid graphene-chlorophyll phototransistors exhibit a high gain of 10^6 electrons per photon and a high responsivity of 10^6 A/W, which can be attributed to the integration of high-mobility graphene and the photosensitive chlorophyll molecules. The charge transfer at interface and the photogating effect in the chlorophyll layer can account for the observed photoresponse of the hybrid devices, which is confirmed by the back-gate-tunable photocurrent as well as the thickness and time dependent studies of the photoresponse. The demonstration of the graphene-chlorophyll phototransistors with high gain envisions a viable method to employ biomaterials for graphene-based optoelectronics.
[1] Shao-Yu Chen, Yi-Ying Lu, Fu-Yu Shih, Po-Hsun Ho, Yang-Fang Chen, Chun-Wei Chen, Yit-Tsong Chen, and Wei-Hua Wang, Carbon, (2013) in press.
9:00 AM - RR15.57
Tuning of Charge Transport of Graphene Nanoribbons by Substitutional Nitrogen Doping
Kyung Tae Kim 1 Won Ho Jo 1
1Seoul National University Seoul Republic of Korea
Show AbstractGraphene, a two-dimensional sp2-hybridized network of carbon atoms, has attracted much attention from both academia and industry due to its outstanding fundamental physical properties such as its fast charge transport, excellent thermal conductivity, mechanical strength, and flexibility. Although graphene itself is a zero-band-gap semi-metal, graphene nanoribbon (GNR) with a width smaller than 10 nm exhibits semiconducting behavior that allows us to use them for active materials of electronic devices. Several top-down methods have been reported to produce GNRs. However, the uncontrollable character of these methods or in some case the harsh conditions restrict severely the quality of the resulting GNRs and consequently limit their applications. Hence, a new synthetic (bottom-up) strategy is strongly desired for well-defined GNRs.
Doping is one of useful methods to tailor the electronic properties of carbon nanomaterials. Theoretical and experimental studies report that the substitutional doping can modulate the band structure of graphene, leading to a metal-semiconductor transition. The doped graphene promises many fascinating properties and widespread potential applications.
In this report, we synthesized nitrogen-doped GNRs (N-GNRs) with different amounts of doping and investigated their TFT properties as a function of the amount of doped nitrogen in N-GNRs. For synthesis of N-GNRs, we first synthesized a precursor polymer by the Suzuki coupling reaction between dibrominated pyrazine (or benzene) and diboronated naphthalene. The degree of nitrogen doping was controlled by changing the monomer feed ratio of pyrazine to benzene. The precursor polymers were then converted into N-GNRs by the intramolecular cyclodehydrogenation reaction with FeCl3 as an oxidant. The degree of cyclodehydrogenation and the content of nitrogen in N-GNRs were identified quantitatively by 1H nuclear magnetic resonance. The electron mobility of N-GNRs was two orders of magnitude higher than that of GNR. As a result, the charge transport behavior of N-GNRs was changed from ambipolar to n-type with increasing the amount of nitrogen doping.
9:00 AM - RR15.58
Morphology-Controllable Graphene-Tin Oxide Nanostructures for Highly Sensitive NO2 Gas Sensing at Room Temperature
Sarbani Basu 1 Arup Neogi 2 Yeong-Her Wang 1
1National Cheng Kung University Tainan Taiwan2University of North Texas Denton USA
Show AbstractGraphene, a single atomic layer of sp2-carbon atoms with two-dimensional hexagonal crystal structures has drawn significant attention due to its large specific surface area; high carrier mobility and high sensitivity to electrical perturbations from gas molecule adsorption enable graphene to be a promising application in the field of electricity-based sensors. One-dimensional nanomaterials of SnO2 has emerged as one of the potential materials exhibiting better crystallinity, sensitivity and higher selectivity for detection of low concentrations of pollutant gases under low operating temperatures due to its wide band gap (3.6 eV), as well as a low production cost, high porosity, environmentally gentle and abundant nature. So far, graphene-based gas sensors have been reported for detection of various gas species such as NO2, NH3, CO, CO2, O2, and H2, possessing high durability, reliability, and reproducibility. However, the large-scale production of practical sensors based on these materials is still limited due to their high cost.
In this present study, efforts have been ongoing to focus on the effects of morphology, reduction degree, and device fabrication of graphene-SnO2 composites on selectivity, sensitivity, and recovery properties of detector devices by following the simple hydrothermal process. The length (~30 mu;m) diameter (~70nm) and surface morphology can be easily tuned by choosing the precursor material and varying the concentration and reaction time. In a typical procedure, the alkoxide was transformed into a chain-like, glycolate complex that subsequently crystallized into uniform SnO2-GO composite nanowires. The SnO2-RGO nanowire array structures exhibited excellent response to target gases at room temperature (detection limit of 1 ppm for NO2) in comparison with SnO2-graphene flakes, GO-SnO2 nanoparticles and pure SnO2-based sensors. We strongly believe that different morphological nanostructure SnO2/graphene-based materials will open new opportunities for improving the sensitivity as well as selectivity for gas sensor applications. The screening of different gas sensing (NH3, H2S) studies is under progress.
9:00 AM - RR15.59
Low-Temperature Synthesis of High Quality CVD Graphene Using Cu-Ag Alloy Catalysts
Hae-A-Seul Shin 1 JaeChul Ryu 2 3 Sung-Pyo Cho 2 SeungMin Cho 3 Young-Chang Joo 1 Byung Hee Hong 2
1Seoul National University Seoul Republic of Korea2Seoul National University Seoul Republic of Korea3SAMSUNG TECHWIN Co., Ltd. Seongnam Republic of Korea
Show AbstractGraphene, a two-dimensional crystalline structured material has been spotlighted from many researchers because of its fascinating electrical, mechanical, optical, thermal properties. The major challenges for the widespread application of graphene were the synthesis of the large scale and uniform film of graphene and lowering the synthesis temperature. As a catalyst of graphene synthesis for uniform film, Ni is not applicable for uniform film due to the relatively high solubility of carbon and Cu is more applicable than Ni however it has a limitation to lower the synthesis temperature of graphene. Therefore, a new catalytic design for graphene synthesis is essential to lower the synthesis temperature and improve the uniformity of graphene. In this study, high quality graphene was synthesized on Cu-Ag alloy catalysts which were formed from Ag plated Cu foil. In addition the effect of Ag on graphene growth enhancement and uniform film formation was studied with verification of the Cu-Ag alloy formation.
Graphene was synthesized on the Cu foil and Ag plated Cu foil at 800 °C, 900 °C and 1000 °C using thermal chemical vapor deposition system. The synthesized graphene was inspected by optical microscope and scanning electron microscope (SEM) for the comparison of synthesis quality of graphene and optimization of growth condition. Raman spectroscopy was utilized to characterize the defects and layers of graphene and compare the coverage of graphene film. Transmission electron microscope (TEM) was employed to determine crystal quality and uniformity of graphene and its domain size. In addition, SEM with Energy dispersive x-ray spectrometer, Dynamic-secondary ion mass spectrometry (D-SIMS) and electron backscatter diffraction (EBSD) were used for the analysis of Cu and Ag plated Cu after graphene synthesis.
The uniform film of graphene with full coverage was synthesized on the Ag plated Cu foil at 900 °C and this synthesis temperature was much lower as compared with the common synthesis temperature of graphene on Cu (1000-1050 °C). Moreover, graphene was nucleated and grown even at 800 °C for Ag plated Cu while the nucleation started at 900 °C for the synthesis on Cu. Synthesis state of monolayer graphene with high uniformity was confirmed from the mapping analysis by Raman spectroscopy. Graphene synthesis was enhanced with the increasing of Ag plating thickness for the thin Ag plating, while non-uniformity of graphene was increased for the think Ag plating. High quality graphene without defects or bilayer sites was achieved with optimization of Ag plating thickness. From the TEM analysis, the domain size and crystalline quality of graphene with various Ag plating thickness were demonstrated. Analytical verification of Cu-Ag alloy formation under high temperature during graphene synthesis and the role of Ag for low temperature CVD growth of high quality graphene will be discussed.
9:00 AM - RR15.60
Direct Synthesis and Electrical Doping of Graphene Meshes
Jaeseok Yi 1 Dong Hyun Lee 1 Won Woo Lee 1 Won Il Park 1
1Hanyang University Seoul Republic of Korea
Show AbstractSince the success of single-layer graphene exfoliation from graphite, the big issue in field of electric device has moved to efficient use of graphene as a basic building block. However, several challenges, associated with its one-atom thick nature as well as its intrinsic semi-metallic or zero-bandgap property, still remain for practical electronic device applications of graphene. Patterning of graphene is one of the essential issues since it is an indispensable step in device fabrication. Existing methods for patterning graphene are mainly based on lithography processes, which include photo- and electron beam lithography, block-copolymer lithography, and nanosphere lithography. These lithographical approaches enable the rational design of high-quality graphene patterns, but they thereafter undergo etching step. The etching process essentially involves the contamination by residual polymer resists and the disordered arrangement of carbon atoms at the etched edges, significantly degrading the electrical properties of graphene. Here, we describe a new method for direct patterned synthesis of graphene meshes on Cu foils with the use of self-assembled silica sphere array as a growth mask. Moreover after thermal reaction with NH3, stable N-doping was achieved through covalent C-N bonds at the edges. The electrical properties were confirmed to be more easily and permanently tuned than those of pristine graphene.
9:00 AM - RR15.61
Magnetic Field and Temperature-Dependent Resistance of CVD Graphene
Junku Liu 1 Qunqing Li 1 Shoushan Fan 1
1Tsinghua-Foxconn Nanotechnology Research Center Beijng China
Show AbstractGraphene has emerged as an exciting two-dimensional material for its extremely high carrier mobility, single atomic thickness, mechanical flexibility and stability, which has great expectations not only in condensed matter physics but also in practical application. Chemical vapor deposition (CVD) is one of the promising methods to synthesize Graphene for large-scale application. But how to confirm the Graphene synthesized by CVD keeping the intrinsic performance is the main challenge of Graphene research. The transport measurement is a sufficient method to study the Graphene properties. Here, we investigated the magnetic field and temperature-dependent resistance of CVD graphene.
In the experiment, we measure the magnetic field and temperature-dependent transport properties of CVD graphene. We find that the CVD graphene is uniformly consisted of one layer with few disorders. The graphene shows negative MR at low temperature and positive MR at higher temperature, agreeing well with weak-localization theory. Interestingly, we find that the temperature-dependent resistance, both in zero magnetic field and magnetic field, decreases linearly as temperature increasing with a negative coefficient as high as -7Omega;/K. The deviation of the temperature-dependent resistance from the logarithmic relationship in weak-localization is attributed to the disorder in CVD graphene.
9:00 AM - RR15.62
Supercapacitive Properties of Metal Oxide - Graphene Nanocomposites
Hae-Min Lee 1 Gyoung Hwa Jeong 2 Sang-Wook Kim 3 Chang-Koo Kim 1
1Ajou University Suwon Republic of Korea2Ajou University Suwon Republic of Korea3Ajou University Suwon Republic of Korea
Show AbstractElectrochemical capacitors (ECs) are attractive power sources. Compared with batteries, ECs are essentially maintenance-free, possess a longer cycle-life, require a very simple charging circuit, experience no memory effect, and are generally much safer. One of the most promising applications is in electric vehicles (EV) because of their high charge/discharge rates. ECs can be coupled with fuel cells or batteries to deliver the high power needed during acceleration and to recover the energy during braking. However, a major shortcoming of ECs is their low energy density (typically 5-10 Wh/kg).
Based on the working mechanism, there are two types of supercapacitors: (i) electric double-layer capacitor (EDLC), with the capacitance attributed to charge accumulation at the electrode-electrolyte interface and (ii) redox capacitor, which has a pseudo-capacitance due to the oxidation-reduction reaction. Various materials have been investigated as an electrode in ECs, including carbon materials, conducting polymers, and transition metal oxides. There is increasing interest in the development of advanced electrode materials having high specific surface areas and conductivity.
Graphene would be an outstanding candidate as an electrode material because of its exceptionally high specific surface area, excellent thermal and electrical conductivity, and good chemical stability. Graphene is usually synthesized by reducing chemically exfoliated graphene oxide (GO) using a reducing agent. A loss of oxygen-containing groups during the chemical reduction results in the aggregation of graphene layers. This re-stacking hinders the access of the electrolyte ions to the surface of the reduced GO and decreases the surface area. As a solution to this re-stacking, graphene-based composites with various metal oxide nanoparticles such as Ni(OH)2, and Co3O4 have been frequently reported.
In this work, a direct and simple method to fabricate graphene-based nanocomposites from graphite in water for precluding the re-stacking of GO reduction was developed. The synthesized samples were characterized by transmission electron microscopy (TEM), powder X-ray diffraction (XRD), and BET. In addition, The electrochemical properties were obtained using a computer-controlled potentiostat equipped with a standard three-electrode cell at room temperature.
9:00 AM - RR15.63
Localized and Selective Picosecond Laser Annealing for Enhancing Electrical Contacts of Multi-Layer MoS2 Field Effect Transistors
Hyuk-Jun Kwon 1 Woong Choi 2 Daeho Lee 1 Yunsung Lee 3 Junyeon Kwon 3 Sunkook Kim 3 Costas P. Grigoropoulos 1
1University of California, Berkeley Berkeley USA2Kookmin University Seoul Republic of Korea3Kyung Hee University Yongin Republic of Korea
Show AbstractSubstantial technological progress has been achieved in the field of ‘flexible/stretchable electronics&’, including flexible displays, human-inspired electronics, and wearable electronics. The advances in materials process for flexible/stretchable electronics, including flexible substrates, stretchable metal interconnects, and low temperature process (< 100°C), achieved a significant progress in bendable and conformal thin-film transistors (TFTs). In spite of the many capabilities of the conventional TFTs based on amorphous silicon (a-Si), low temperature poly-silicon (LTPS), organic semiconductors, and oxide semiconductors, the fragility and low mobility of these materials limit their utility in flexible/stretchable electronic circuits. Hence, the reliable performance of these devices requires a novel semiconductor material that can provide mechanical stability and high mobility. In this regard, TFTs based on transition metal dichalcogenides (TMDs), such as MoS2, demonstrated the potential to overcome the drawbacks of conventional TFTs, because of their relatively high mobility (>100 cm2/Vs), large bandgap (~1.35 eV), mechanical flexibility. The combination of their high carrier mobility, atomic thickness, and significant band gaps offers an exciting new pathway to practical nanoscale electronics.
However, there are several key challenges that lie ahead before the transistors based on 2D layered semiconductors can be integrated into flexible/stretchable circuits. The key challenges include inaccessibility of low contact resistance for high performance transistor, and a low thermal budget (< 200 C) of the typical flexible substrate (e.g. PEN, PET, and PI films). That is, conventional thermal process cannot be applied to lower contact resistance at a schottky barrier between metal and a semiconducting MoS2. In order to achieve high mobility MoS2 TFTs on flexible substrate, the pulse laser is promising technology because it can induce thermal effect at locally confined a selective area that needs high temperature without extreme thermal damage.
In this study, we will show that mechanical flexible MoS2 TFT in which the source/drain electrodes are selectively annealed using picosecond laser annealing achieve the enhancement of device performance without plastic deformation, such as higher mobility (from 14.1 to 33.5 cm2/Vs) and 20 times increase of self-gain (Av= gmro, the fully saturated drain current). Analysis with FDM simulation, I-V characteristics, and TEM observation strongly suggests that the significant enhancement in performance metrics originated from a decrease of Schottky barrier height between Ti/Au metal and the semiconducting MoS2. Picosecond laser annealing will be a promising technology to build up a high performance flexible MoS2 TFTs in analog / digital integrated circuitry.
9:00 AM - RR15.64
Graphene Oxide-Supported Carbene/Pd Complex for Suzuki Coupling Reaction
Jung Hyun Park 1 Faizan Raza 1
1Hanyang University Ansan Republic of Korea
Show AbstractHeterogeneous catalysts have many advantages in terms of separation, recycling and contamination to desired products. Herein, we will present graphene oxid (GO)-supported N-heterocyclic carbine (NHC)-palladium complex for Suzuki coupling reaction in aqueous solvent. GO was prepared by modified Hummer&’s method, and then it was functionalized with NHC precursor, 1-methyl-3-(3-aminopropyl)imidazolium bromide [IL-NH2]. IL-GO reacted with Pd(OAc)2 to form a stable NHC-Pd complex, which was characterized by H-NMR, FT-IR, ICP, and XPS. GO-supported NHC-Pd catalyst exhibited very fast reaction kinetics for aryl bromides and iodides in Suzuki coupling reaction. In addition, GO-supported NHC-Pd catalyst was reused several times in Suzuki reaction without loss of its catalytic activity. This novel type of heterogeneous Pd catalyst will be applicable to other research areas such as fuel cells and solar fuels etc.
9:00 AM - RR15.65
Ultrasensitive Electrochemiluminescence Immunoassay Based on Three-Dimensional Graphene/Cdte Quantum Dots Composite
Shenguang Ge 1 Mei Yan 1 Lei Ge 1 Yan Zhang 1 Chengchao Chu 1 Jinghua Yu 1 Jiadong Huang 1
1University of Jinan Jinan China
Show AbstractThree-dimensional (3D) graphene possesses a relatively large surface area and high aspect ratio, together with a multiple lattice-layered graphitic structure, low density and highly porous structures with pore sizes as big as several tens of micrometers, which enable easy access and diffusion of ions and molecules throughout the highly porous structures, and thus it is used in many applications, such as catalysis, sensors, flexible electrically conducting nanocomposites, energy storage and conversion, and oil absorption and water purification. A general method for the fabrication of 3D porous graphene-based CdTe quantum dots composite materials is reported. This method involves following steps. Firstly, graphene oxide (GO) was prepared according to a modified Hummer&’s method. Subsequently, 3D graphene (3D-GR) porous material is prepared electrochemically by reducing a concentrated graphene oxide dispersion, the electrochemical reduction was performed at -1.15 V, to obtain a large reaction rate and avoid decomposition of water. Then, the CdTe quantum dots (CdTe QDs) is anchored onto this 3D-GR matrix with the cross-linker EDC/NHS, yielding 3-D macroporous graphene/CdTe QDs composite material. The prepared 3-D macroporous graphene/CdTe QDs composite materials have a conductive graphene network as the matrix, onto which the CdTe QDs is homogeneously coated. Finally, the 3D-GR/CdTe QDs composite was obtained through freeze drying process. The formation of a columnar ice phase and subsequent drying produced graphene structures of a few microns in diameter. Structure characterization was obtained by means of transmission electron microscope (TEM), high resolution transmission electron microscope (HRTEM) and scanning electron microscope (SEM). 3D-GR/CdTe QDs composite was used as an electrochemiluminescence (ECL) probe for versatile assays of protein. A large number of CdTe QDs were labeled on the 3D-GR, which could significantly amplify the QD&’s ECL signal. To the best of our knowledge, the novel 3D-GR/CdTe QDs probe was employed in the ECL assays of protein. Excellent discrimination against target and control protein is demonstrated, indicating that the ECL assays have great potential to provide a sensitive, selective, cost-effective, and convenient approach for early and accurate detection of cancer biomarker.
9:00 AM - RR15.66
Graphene Infrared Detector Operating at Room Temperature
Seong Chu Lim 1 JungHyun Yoon 2 Tae Hyung Kim 1 Dave Perello 1 Chaejeong Heo 1 Mun Seok Jung 1 Ha Ryong Hwang 2 Minhee Yun 3 Young Hee Lee 1
1IBS CINAP, Sungkyunkwan University Suwon Republic of Korea2WISE CONTROL Inc., Ramp;D Division Youngin-si Republic of Korea3University of Pittsburgh Pittsburgh USA
Show AbstractThe optical absorption of single layer graphene that is atomic thick is extremely high, 2.3 %, and is constant from visible to far IR range. Furthermore, the optical response can be tuned by modulating the carrier density of graphene using a gate bias. In addition to unique optical properties, graphene has exhibited thermoelectric power (TEP) about 20 ~ 80 mu;volt/K at room temperature, higher than ordinary metals. Therefore, supposing that both optical and thermoelectric properties of graphene are implemented into a device, the temperature of target can be read by transducing the optical input from the target that heats up the graphene to thermoelectric output. In this study, we fabricated a device in a way that incident IR can cause the temperature difference along the graphene using a free-standing SiNx membrane structure and the resultant thermoelectric power (TEP) is measured to detect the IR. The developed IR detector operates at room temperature without a cooling and sensitively responses to mid-IR range from 7 to 14 mu;m. The responsivity and detectivity of IR sensor are observed to be 4.37 V/W and 1.8 x 107 cm Hz1/2/W at 5 Hz, respectively.
9:00 AM - RR15.67
cm2-Sized Monolayer Metal-Organic Polymer/Copolymer Sheets: Synthesis and Properties
Zhikun Zheng 1 Lothar Opilik 2 Antonella Rossi 1 Carlos Ruiz Vargas 3 Junji Sakamoto 1 Andreas Stemmer 3 Renato Zenobi 2 A. Dieter Schlueter 1
1ETH Zurich Zamp;#252;rich Switzerland2ETH Zurich Zamp;#252;rich Switzerland3ETH Zurich Zamp;#252;rich Switzerland
Show AbstractThe current interest in graphene, a naturally occurring two-dimensional polymer (2DP), makes it clear that there is no organic synthetic method available that would allow accessing a laterally infinite, one-monomer unit thick, freestanding, unimolecular network with a defined internal periodicity (2DP).[1] Here we present a general method for the synthesis of metal-organic sheets that may qualify as 2DPs.[2] It rests on the connection of terpyridine-based monomers at air-water interface with metal ions. These sheets obtained are one-monomer unit thick and are mechanically stable enough to be spanned over 20×20 µm2 holes. As exemplified by Fe2+ as connector, the corresponding sheet can be homogenously generated on length scale from micrometers all the way up to centimeters. The Young&’s Modulus of the sheet amounts to ~ 20 GPa (conventional polymers < 5 GPa). Interestingly, transmetallation of Zn2+ in Zn-based sheets to Fe2+ (Co2+, Pb2+) can be achieved on the cm2-sized scale. The extent of the transmetallation can be controlled by varying reaction time. Assuming that the transmetallation is a random process, this allows to access sheets with a random distribution of two different metals in the netpoints. In the terminology of linear polymers this is reminiscent of random copolymers, thus we are dealing with random metal-organic sheet copolymers until transmetallation is finished. Furthermore, area-selective transmetallation of Zn-based sheets with appropriate masks leads to arrays of chemical patterns alternatingly containing Zn2+ and Fe2+-based netpoints. Looking at such sheets with the eyes of a polymer chemist one may consider them as two-dimensional analogues of block copolymers.
[1] J. Sakamoto, J. Van Heijst, O. Lukin, A. D. Schlüter, Angew. Chem. Int. Ed. 2009, 48, 1030.
[2] (a) T. Bauer, Z. Zheng, A. Renn, R. Enning, A. Stemmer, J. Sakamoto, A. D. Schlüter, Angew. Chem. Int. Ed. 2011, 50, 7879, (b) Z. Zheng, T. Bauer, A. Rossi, P. Payamyar, J. Sakamoto, A. D. Schlüter, Adv. Mater., in revision.
9:00 AM - RR15.70
Low Temperature CVD Growth of Multi-Layer Graphene on Ni Single Crystal Substrates with the Orientation of (111), (110) and (100)
Takuya Suzuki 1 Ryosuke Sawabe 1 Yuichi Yamazaki 2 Makoto Wada 2 Akihiro Kajita 2 Tadashi Sakai 2 Yuji Awano 1
1Keio University Yokohama Japan2Low-power Electronics Association amp; Project (LEAP) Tsukuba Japan
Show AbstractControlled low temperature CVD growth of multi-layer graphene is important for next generation LSI wiring applications. However, previosly reported multi-layer CVD graphene films are limited to small size domain and/or poor crystallinity. Only single-layer CVD graphene films grown on crystallized catalyst substrates at high temperature (900 °C) have been previously reported [1]. In this work, we investigate, for the first time, low temperature CVD growth of multi-layer graphene on Ni single crystal substrates with the orientation of (100), (111), and (110). A conventional RF-plasma CVD was employed with the growth temperature of 600 °C. From Raman Spectroscopy, the ratio of the G band intensity to the D band intensity (G/D ratio) was about 19 for those on Ni (111) substrates, while the ratio for those on Ni (110) and Ni (100) substrates were 2.4 and 1.7, respectively. This indicates that the (111) oriented substrates can enhance the G/D ratio of multi-layer graphene, which is similar to that of a single-layer graphene.
This work was performed as part of "Ultra-Low Voltage Device Project" funded and supported by NEDO and METI.
[1] H. Ago, et al., ACS Nano, vol. 4, no. 12, pp.7407-7414 (2010)
9:00 AM - RR15.71
Quantifying the Time-Evolution of Carbon Concentration in Nickel-Catalyzed Graphene Growth Using Elastic Recoil Detection
Eric R. Meshot 1 2 Daniel Q. McNerny 3 John Meersschaut 1 Chris Van Hoof 1 4 A. John Hart 3 5
1imec Leuven Belgium2Lawrence Livermore National Laboratory Livermore USA3University of Michigan Ann Arbor USA4KU Leuven Leuven Belgium5Massachusetts Institute of Technology Cambridge USA
Show AbstractWhile the kinetics of graphene formation have been related to catalyst film geometry and models of carbon diffusion/precipitation, to our knowledge the carbon content has not been mapped spatially. The need for this is highlighted by the known role of both bulk and grain boundary diffusion in mediating graphene growth on the top and bottom surface of Ni films. Thus, it is crucial to develop complimentary techniques that provide quantitative understanding of the spatiotemporal evolution of carbon atoms and the mechanisms by which they incorporate into the growing graphene lattice.
Here we show that time-of-flight elastic recoil detection (ToF-ERD) enables quantitative mapping of carbon concentration in Ni films. This technique is applied ex situ to Ni films processed using rapid chemical vapor deposition (CVD) in C2H2, along with in situ Raman spectroscopy to correlate carbon depth profile with the graphene quality and layer number. ToF-ERD uniquely enables non-destructive depth-profiling of light elements at sub-10-nm resolution, and this is the first time to our knowledge it is applied to graphene synthesis. In our system, the carbon-to-nickel concentration ratio ([C]/[Ni]) at the film&’s surface increases by more than 2 orders of magnitude when the dwell time (isotherm at 865 °C) is increased from 2.5 min to 5 min. Our Raman analysis shows high-quality graphene (ID/IG = 0.1, ~7 layers) is achieved for 2.5 min without the excessive carbon accumulation observed at 5 min, while graphene grown for less than 2.5 min results in lower quality (ID/IG = 0.4). Our results suggest that beyond this optimal dwell time the carbon concentration increases significantly due to adsorption and/or migration to grain boundaries in the nickel. Consequently, we start from nanocrystalline nickel (grain size ~100 nm), and grain boundaries themselves also grow with dwell time, which competes with the growing graphene for carbon atoms by acting as a preferential sink. Finally, we note that the carbon concentration decays from 100% (graphene) at the film&’s surface to < 1% inside the bulk nickel, and while the slope of this decay is steeper for slower cooling rates, we show that there is no significant difference in graphene quality; ID/IG = 0.1 for both 10 °C/sec and 1°C/sec cooling rates.
The facts that a) high-quality graphene is formed at temperature from a solid solution and b) cooling rate does not impact graphene quality challenge the notion that growth can be primarily controlled via precipitation during cooling. Our approach fills an important need to quantitatively understand how gas-phase carbon precursors decompose and interact with the growth substrate to produce uniformly high-quality graphene.
9:00 AM - RR15.72
Interaction of Single-Stranded Nucleic Acids with Graphene Oxide and Its Application to RNA Polymerase Assay
Joon Soo Park 1 Dong-Eun Kim 1
1Konkuk University Seoul Republic of Korea
Show AbstractGraphene oxide (GO) is a water-soluble single-atom thick carbon material that is derived from graphene monolayer. GO is known to strongly adsorb single-stranded nucleic acids through pi-stacking interactions and hydrogen bonds between the nucleobases and the hexagonal cells of GO, while it has a less affinity to the double-stranded nucleic acids. In addition, GO quenches the fluorescence when the fluorophore comes near to the GO mesh through energy-transfer by GO pi-system. We demonstrated that the GO-adsorbed single-stranded nucleic acids can be readily dissociated from GO by disrupting hydrogen bonding with urea, which was confirmed with fluorescence measurement and gel electrophoresis. The GO-coated mesoporous silica nanoparticles (GO-MSN) were synthesized for better separation of RNAs in solution. Cellular RNAs were readily adsorbed and eluted with ease by using GO-MSN and urea, demonstrating that GO-MSN and urea elution is a facile RNA extraction method from cell debris.
Interaction of single-stranded nucleic acid with GO is widely applied to the fluorometric enzyme assay system because GO itself acts not only as a fluorescence quencher but also as a reaction quencher by interacting with protein through hydrophobic interaction. With this property of GO, we designed a simple, direct fluorometric assay based on GO for RNA polymerase (RNAP)-mediated RNA synthesis. In principle, fluorescent peptide nucleic acid (PNA) probes were designed, annealed with RNA products and the resultant PNA-RNA hybrids induce the recovery of fluorescence intensity of the PNA probes adsorbed to GO surface. However, in the absence of RNA product, the PNA probe remains as a single-stranded oligonucleotide and strongly adsorbs onto GO, resulting in the quenching of the fluorescence signal. We demonstrated the applicability of our GO-based method as a facile assay platform for RNAP inhibitor screening by quantitation of RNA synthesis.
9:00 AM - RR15.73
Large-Area Nanoperforated Graphene by Arrays of Nanoparticles Fabricated from Diblock Copolymer Micelles
Sung-Soo Kim 1 Myungjin Park 1 Byeong Hee Hong 1 Byeong-Hyeok Sohn 1
1Seoul National University Seoul Republic of Korea
Show AbstractRecently various lithographic methods were employed to fabricate nanostructured graphenes, and their extraordinary properties which cannot be observed in pristine graphene have been widely investigated. Among numerous techniques, nanoparticle-assisted lithography which employs catalytic nanoparticles to make trenches or holes in graphene has gathered a great amount of interests because this approach is comparatively milder than other top-down lithographic methods and greatly facile to generate nano-sized architectures in graphene. However, this nanoparticle approach suffers from the lack of morphological control because the place of nanoparticles onto graphene where the etching starts cannot be accurately controlled.
The diblock copolymer approach has been used to synthesize arrayed nanoparticles on large-area substrates and is a potential candidate to prepare nanoparticles on graphene. Diblock copolymers which consist of two chemically distinct homopolymers linked by a covalent bond spontaneously assemble into periodic nanostructures, of which the size and morphology can be controlled by the molecular weight and composition of copolymers. In addition, diblock copolymer forms nano-sized micelles consisting of a soluble corona and an insoluble core in a selective solvent for one of the blocks of copolymers. The diblock copolymers micelles can be coated on solid substrates to generate a monolayered micellar thin film, which can serve as a nanotemplate to generate arrays of nanoparticles.
In this work, we successfully fabricated large-area nanoperforated graphene having array of holes by ordered arrays of Pt nanoparticles. These nanoparticles were synthesized from a single-layered film of diblock copolymer micelles spin-coated onto graphene. The size and spacing of holes in graphene were effectively controlled in nanoscale by the molecular weight of copolymers.
9:00 AM - RR15.74
Nucleation Suppression in CVD Growth of Graphene on Cu by High Pressure Pre-Annealing
Seiya Suzuki 1 Takashi Nagamori 1 Yuki Matsuoka 1 Masamichi Yoshimura 1
1Toyota Technological Institute Nagoya Japan
Show AbstractChemical vapor deposition (CVD) of graphene on catalytic metal surfaces has been widely studied, and large-size single-crystal up to millimeter scale has been reported [1]. Further increase in the crystal size is beneficial for electro/optic applications. In this report, we report the effect of approximately threefold atmospheric (ATM) pressure pre-annealing (~0.34 MPa) of Cu substrate to the atmospheric CVD (APCVD) growth of graphene, paying attention to the nucleation and growth behaviors.
Single-layer graphene were grown on Cu foils (100 mu;m thick) by APCVD for 1-60 min at 1050 °C under the flows of Ar, H2, and CH4 after high or ambient pressure annealing for 5 h. Scanning electron microscopy (SEM) observation showed that the number of graphene domains annealed at the threefold ATM pressure was approximately one-third of that at ATM pressure. Atomic force microscope (AFM) observations revealed the existence of impurity particles on both surfaces; the particles on Cu after threefold ATM pressure annealing had smaller density but larger size than those of ATM pressure annealing.
Silicon (Si) KLL Auger imaging revealed that Si containing particles with relatively small and large were present in Cu and in the center of a graphene domain, respectively, while carbon KLL Auger imaging of the graphene showed clear hexagonal shape. Since oxygen KLL also had relatively high signal on the particles, the particles would be silicon oxide from quartz tube of our APCVD furnace.
Through these measurements we assumed that the nucleation of graphene on Cu in APCVD was initiated on the impurity particles. Threefold ATM pressure pre-annealing suppressed the formation of such particles, and resulted in large domain size. It is also noted that a sub-millimeter-size (~0.5 mm) single-crystal graphene has been successfully grown by combining thus threefold ATM pressure annealing and electropolishing of Cu.
[1] Z. Yan, ACS Nano, 6, 9110, (2012).
9:00 AM - RR15.75
The Intrinsic Wettability of Graphene
Zhiting Li 1 Yongjin Wang 2 Andrew Kozbial 2 Ganesh Shenoy 1 Feng Zhou 1 Lei Li 2 Haitao Liu 1
1University of Pittsburgh Pittsburgh USA2University of Pittsburgh Pittsburgh USA
Show AbstractIt has been generally accepted that graphitic surfaces, including graphene and graphite, are hydrophobic and have water contact angle (WCA) of ca. 90°. Here we show that this hydrophobic behavior is not the intrinsic property of the graphitic surfaces. Instead, our result demonstrated that a clean graphitic surface is hydrophilic and the previously reported hydrophobicity of graphitic surface was due to surface contamination by airborne hydrocarbon.
We found that a freshly prepared graphene/copper sample has a water contact angle of ca. 40°, significantly smaller than the previously reported value of 86°. The surface became more hydrophobic after exposure to ambient air, ultimately reaching a contact angle of ca. 90°. By using a combination of infrared spectroscopy (IR), X-ray photoelectron spectroscopy (XPS), and spectroscopic ellipsometry, we demonstrated that this change of wettability results from the adsorption of airborne hydrocarbon contaminants on the graphene surface. Both XPS and IR measurement showed presence of hydrocarbon on the graphene surface upon its exposure to air and the amount of hydrocarbon correlates with the change of the WCA. Ellipsometry data showed that the surface adsorbed hydrocarbon reached monolayer coverage within 30 min. Most significantly, the same increase of WCA was also observed on as-grown graphene/nickel and freshly cleaved highly oriented pyrolitic graphite (HOPG) samples, suggesting that all graphitic surfaces are intrinsically hydrophilic and their wettability are affected by the same hydrocarbon contamination.
Being one of the most important properties of a surface, wettability reflects the various molecular scale interactions at the solid-liquid-gas interface. In this regard, our result echoes recent theoretical calculations that have predicted stronger-than-previously-expected water - graphite interactions. More importantly, wettability of a surface also defines many other surface properties, including but not limited to adhesion, charge doping, electrochemical activity, and interfacial heat transfer. Given that surface contamination was not considered in most of these studies, our result also calls for a revisit of these related investigations of graphitic materials.
9:00 AM - RR15.76
Fabrication of All-Carbon Nanotube Electronic Devices on Flexible Substrates through Control of Carbon Nanotube Density
Yuan Zou 1 Qunqing Li 1 Junku Liu 1 Yuanhao Jin 1 Qingkai Qian 1 Kaili Jiang 1 Shoushan Fan 1
1Tsinghua University Beijing China
Show AbstractAll carbon nanotube circuits offer opportunities to realize extremely flexible, transparent and stretchable electronics. Both of the metallic nanotube and semiconducting nanotube can reveal their extraordinary electrical and mechanical performance in such kind of devices. In all carbon nanotube circuits, not only the properties of carbon nanotube TFT should be considered, but also the electrodes and interconnections which are made by the carbon nanotube should be constructed and controlled carefully. A lot of efforts have been done in order to realize all carbon nanotube circuit.
In this paper, chemical vapor deposition method was employed to fabricate single-walled carbon nanotube random network. The density of network was successfully controlled by tuning the concentration of catalysts and the growth time, so that two kinds of carbon nanotube thin film can be obtained to act as the electrode/interconnection and channel material of thin film transistor. Such kinds of random network have been transferred to flexible substrate with low loss and full carbon nanotube flexible thin film transistors with on/off as high as 10E5 have been obtained. Furthermore, inverters are demonstrated as an application of such full carbon nanotube devices and symmetric input/output behavior is achieved when supply voltage is lower than 5V. Moreover, we find that by cutting the SWNT electrodes of the diode-load transistor into several stripes, the properties of all-carbon nanotube inverters can be tuned efficiently.
9:00 AM - RR15.77
Method of Mechanical Exfoliation of Self-Assembeled Few-Layer Graphene
Gagik Shmavonyan 1 Aram Mailian 2 Manvel Mailian 3
1State Engineering University of Armenia Yerevan Armenia2Institute for Informatics Yerevan Armenia3LTX-Credence Armenia Yerevan Armenia
Show AbstractAs the balance of search of promising nanomaterials tilts in favor of few-layered graphitic structures a new method of repeatedly rubbing of randomly stacked graphite parent rod on an insulating substrate developed by us seems to be practically more attractive. A straightforward and versatile method of obtaining self-organized few-layer graphene/graphite structures is suggested.
As the whole structure obtained by this method exhibits physical properties of a highly layered semiconducting material, the topmost layer contains self-organized four atomic graphene layers due to repeated manipulation of combined cleaving-transferring-compressing of flakes.
It is found that the structure as well as the topmost layer exhibits peculiar physical properties such as:
- The top layer is ordered stacked and crystallographically oriented perpendicular to the surface of the structure.
- An energy gap of 1.27 eV originating from electron dispersion in four-layer graphene is clearly observable in optical characteristics of the layer.
- Enhanced optical absorption due to the presence of highly photosensitive four-layer graphene on the surface of the sheet makes it possible to obtain Raman spectra at near infrared excitation light (976 nm). The spectra contain new bands different from those of intrinsic graphite or single layer graphene.
- The carrier mobility is found to be anisotropic through the thickness of the structure with the highest value of 25000 cm2/V sec at the top of the structure.
The discovered regularities and observations testify to a structure promising to obtain a critical importance in achieving selective conductivity and high carrier mobility in the graphite/graphene system. The data of Raman shift obtained from the specially treated self-organized surface of graphite or from the surface of the structure on the insulating substrate is expected to be highly informative for researchers dealing with Raman spectroscopy of graphite and graphene.
As a whole, from the practical point of view the method promises to be a straightforward and versatile method for obtaining few layer graphene.
9:00 AM - RR15.78
Thermal Expansion of Graphene Nanomeshes
Newton C. B. Mosterio 1 Alexandre F. Fonseca 2
1Escola de Engenharia Industrial e Metalamp;#250;rgica de Volta Redonda (EEIMVR) - UFF Volta Redonda Brazil2UNICAMP - State University of Campinas Campinas Brazil
Show AbstractGraphene, the first one-atom thick crystalline structure synthesized, is well known for its excellent mechanical, thermal and electronic properties. Nevertheless, its zero band-gap limits the application to nanoelectronics. Graphene nanoribbons [1], bilayer graphene [2], graphane [3] and graphene oxide [4] have been shown to possess opened gaps, however experimental and technological difficulties have been considered to preclude large application of these systems to nanoelectronics. A new structure called graphene nanomesh (GNM), a large graphene structure with a periodic array of nanoscale holes, was recently synthesized [5,6] and has been shown to have electronic properties dependent on hole and neck (i.e., the smallest hole-to-hole distance) sizes [7,8] and be a viable structure for massive production [8]. Although the electronic properties of GNMs are being intensively studied [6-11], their structural and thermal properties were not fully investigated. This work presents a systematic study of the thermal expansion of graphene nanomeshes as function of hole and neck sizes, using molecular dynamics simulations. The second generation of the REBO potential is employed to obtain the coefficient of thermal expansion of GNMs. It is shown that compared to pristine graphene, the thermal expansion of GNMs is strongly affected by hole and neck sizes, and the reasons for that are presented in terms of the out-of-plane vibrations of carbon-carbon bonds at different regions of the GNM.
[1] M. Fujita, K. Wakabayashi, K. Nakada and K. Kusakabe, J. Phys. Soc. Jpn. 65, 1920 (1996).
[2] T. Ohta, A. Bostwick, T. Seyller, K. Horn, and E. Rotenberg, Science 313, 951 (2006).
[3] R. Balog, B. Joslash;rgensen, L. Nilsson, M. Andersen, E. Rienks, M. Bianchi, M. Fanetti, E. Laelig;gsgaard, A. Baraldi, S. Lizzit, Z. Sljivancanin, F. Besenbacher, B. Hammer, T. G. Pedersen, P. Hofmann and L. Hornekaelig;r, Nature Materials 9, 315 (2010).
[4] S. Park and R. S. Ruoff, Nature Nanotechnology 4, 217 (2009).
[5] J. Bai, X. Zhong, S. Jiang, Y. Huang and X. Duan, Nature Nanotechnology 5, 190 (2010).
[6] A. Sinitskii and J. M. Tour, J. Am. Chem. Soc. 132, 14730 (2010).
[7] H. Sahin and S. Ciraci, Phys. Rev. B 84, 035452 (2011).
[8] R. Sako, N. Hasegawa, H. Tsuchiya and M. Ogawa, J. Appl. Phys. 113, 143702 (2013).
[9] L. Zhang, S. Diao, Y. Nie, K. Yan, N. Liu, B. Dai, Q. Xie, A. Reina, J. Kong and Z. Liu, J. Am. Chem. Soc. 133, 2706 (2011).
[10] G. Ning, Z. Fan, G. Wang, J. Gao, W. Qianc and F. Wei, Chem. Commun. 47, 5976 (2011).
[11] L. Liu , Y. Zhang , W. Wang , C. Gu , X. Bai and E. Wang, Adv. Mater. 23, 1246 (2011).
[12] NCBM acknowledges a fellowship from the Brazilian agency CNPq. AFF is a research fellow of CNPq and acknowledges grants from CNPq, FAPESP and UNESP.
9:00 AM - RR15.81
Complementary In-situ Characterization of Nickel Carbide (Ni3C) Catalyst Model System in Graphene Growth
Bernhard Christian Bayer 1 David Bosworth 2 Felix Benjamin Michaelis 1 Raoul Blume 3 Robert Weatherup 1 Piran Kidambi 1 Axel Knop-Gericke 4 Robert Schloegl 4 Carsten Baehtz 5 Zoe Barber 2 Stephan Hofmann 1
1University of Cambridge Cambridge United Kingdom2University of Cambridge Cambridge United Kingdom3Helmholtz-Zentrum Berlin famp;#252;r Materialien und Energie Berlin Germany4Fritz-Haber-Institut der Max-Planck-Gesellschaft Berlin Germany5Helmholtz-Zentrum Dresden-Rossendorf Dresden Germany
Show AbstractMetastable Nickel-carbide (Ni3C) has been suggested as an intermediate catalyst phase in (solid-state) graphene growth [1] and also carbon nanotube synthesis [2,3]. Here, we use nanocomposite thin films of Ni3C nanoparticles in a carbon matrix as a model system to study phase transitions and graphitization behavior in the Ni3C-Ni-carbon system in-situ via complementary in-situ X-ray diffractometry, in-situ Raman spectroscopy and in-situ X-ray photoelectron spectroscopy [4]. This allows us to elucidate the role of metastable catalyst phases in carbon nanostructure growth [5].
References:
[1] Adv. Mater. 2013, 25, 630
[2] Diam. Relat. Mater. 2013, 34, 76
[3] ACS Nano 2012, 6, 10475
[4] Nano Lett. 2011, 11, 4154
[5] Chem. Mater. 2012, 24, 4633
9:00 AM - RR15.84
Resonance Effects on the Raman Spectra of Twisted Bilayer Graphene
Victor Carozo 3 1 Clara Muniz Almeida 3 Benjamin Fragneaud 4 Pedro Bede 3 Rodrigo Capaz 1 3 Ado Jorio 2 Luiz Gustavo Cancado 2 Carlos Alberto Achete 3 1
1UFRJ Rio de janeiro Brazil2UFMG Belo Horizonte Brazil3Inmetro Duque de Caxias Brazil4UFJF Juiz de fora Brazil
Show AbstractTwisted bilayer graphene (tBLG) has been subject of intense study due to interesting electronic structure. Two van Hove singularities (vHs) appear in the density of electronic states, and the energy difference between these vHs&’s can be changed by changing the mismatch angle theta;. The presence of vHs&’s near the Fermi energy can generate electronic instabilities which are important in materials science.
In this work, tBLG&’s were produced using an atomic force microscopy (AFM) tip, and the twist angles were determined by lattice-resolution AFM. We measured the effect of the mismatch angle theta; on the frequency and intensity of the main Raman features. We observed resonance enhancement of the G and R bands for specific excitation laser energies. These resonance effects are explained based on maxima in the optical absorption of tBLG, and these maxima depend on the twist angle theta;.
9:00 AM - RR15.85
Flaw Insensitive Fracture in Nanocrystalline Graphene
Teng Zhang 1 Xiaoyan Li 1 Sara Kadkhodaei 1 Huajian Gao 1
1Brown University Providence USA
Show AbstractWe show from a series of molecular dynamics
simulations that the tensile fracture behavior of a nanocrystalline
graphene (nc-graphene) nanostrip can become insensitive to a preexisting
flaw (e.g., a hole or a notch) below a critical length scale in
the sense that there exists no stress concentration near the flaw, the
ultimate failure does not necessarily initiate at the flaw, and the
normalized strength of the strip is independent of the size of the flaw.
This study is a first direct atomistic simulation of flaw insensitive
fracture in high-strength nanoscale materials and provides significant
insights into the deformation and failure mechanisms of nc-graphene.
9:00 AM - RR15.86
Thermal Conductance Imaging of Exfoliated Graphene
Carlo Maragliano 1 Jia Yang 2 Aaron Schmidt 2
1Masdar Institute of Science and Technology Abu Dhabi United Arab Emirates2Boston University Boston USA
Show AbstractAs microelectronic device dimensions move towards the nanoscale, one of the most important challenges in integrated circuit design is heat dissipation. In this view, graphene, a monolayer of sp2-bonded carbon atoms with extremely high in-plane thermal conductivity, offers a potential solution for the thermal management problem. Nevertheless, heat dissipation from graphene is limited in the cross-plane direction i.e. across its interfaces, and the transport properties strongly depend on interaction with the substrate. Here we report two-dimensional imaging of the cross-plane thermal conductance of graphene sheets placed between an Au thin film and an SiO2 substrate. A thermal property imaging technique based on Frequency-Domain Thermoreflectance (FDTR) is used. We provide details of the measurement technique and explain how the data is processed to obtain quantitative maps of transport properties with diffraction-limited lateral resolution and nanoscale depth resolution. Graphene layers were produced by mechanical exfoliation and transferred to SiO2 substrates. Our results demonstrate that with this technique we can resolve a difference in thermal conductance between single and multiple layers of buried graphene, indicating the utility of our technique for mapping thermal conductivity variation in next-generation devices.
9:00 AM - RR15.88
Structural, Electronic and Magnetic Properties of Bilayer Graphene/(111)-Pt
Jose del Carmen Otalora 1 Enrique Vera Lopez 1 Maria G. Moreno-Armenta 2 Jairo A. Rodriguez Martinez 3
1Universidad Pedagogica y Tecnologica Tunja Colombia2Universidad Nacional Autonoma de Mexico Ensenada Mexico3Universidad Nacional de Colombia Bogota Colombia
Show AbstractWe study the adsorption of one Pt-atom on graphene. We essay several possibilities as on top of a C-atom (T point), on bridge between two C-atoms,(Br), and at the center of the hexagon of the graphene (H6), and several Pt-monolayers on graphene, using the Density Functional Theory. The motivation of this study is because this system present a possible
application on cheap systems to convert CO on CO2 by means of catalytic reaction. In the first instance we have determined the structural properties of the adsorption of one Pt-atom on graphene. We found that Pt atom preferably is adsorbed on bridge; the worst site is on H6 point. About the diffusion of an Pt -atom on the graphene we found the total energies along
of a high symmetry way that join the points H6-T1-Br on the graphene. From these results, we found that the movement of Pt - atoms on the graphene is avoided due to energy barriers. Although the best site to the adsorption of one Pt atom is the bridge point, calculations of formation enthalpy showed that a monolayer of Pt atoms on bridge is unstable respect to the clean graphene, because the mismatch is high. Therefore, in a second step, we searched for the most stable monolayers. In order to find the most stable structure about 30 different cases were studied; some of them were: radic;(21)×radic;(21) graphene/4x4(111) Pt ,mismatch of 0.3%, 2sqrt (3)×2sqrt (3)graphene/3x3(111)Pt , mismatch -0.5%, or 2×2 graphene/radic;(3)×radic;(3)(111)Pt, mismatch -0.5%. From these structures, possibly the most stable, with respect the clean graphene, seems to be the 2sqrt (3)×2sqrt (3)graphene/3x3(111)Pt . The magnetic moment of the cell varies from 0 to about 6 mu;B/cell. The most stable monolayer produces a magnetic moment of about 3 mu;B/cell. Additionally, we present the electronic bands and the Density of States (DOS) for that stable structure.
Acknowledgement
Calculations were performed in the DGCTIC UNAM supercomputing center
9:00 AM - RR15.89
Synthesis of Graphene Quantum Dots by a Bottom-Up Laser Photochemical Process
Rohan Gokhale 1 Pooja Singh 1 Satishchandra Ogale 1
1National Chemical Laboratory Pune India
Show AbstractGraphene Quantum Dots (GQDs) constitute a newly discovered class of carbon dots that have been explored for synthesis, structure, luminescence and various applications. GQDs are largely categorized as large polyaromatic species or nano-graphene particles having dimensions in the range of 1-100 nm with stacking up to 10 layers and possessing a size dependent tunable bandgap. Established synthesis protocols for GQDs include top-down chemical approaches like hydrothermal, electrochemical, solvothermal routes etc. Alternately bottom-up routes to synthesize GQDs involve techniques like cage opening of fullerenes or use of several organic synthetic chemistry methods as well.
In this communication a novel photochemical bottom-up route is demonstrated for the synthesis of GQDs from small aromatic molecules. The process used is termed as pulsed-laser induced photochemical stitching (PLPS). Dichlorobenzene (DCB) was irradiated by 248 nm UV laser pulses to generate a carbon residue. The liquid was filtered to obtain highly dispersed graphene quantum dots in DCB. When high energy photons of wavelength 248 nm generated by a KrF excimer laser, strike small haloaromatic molecules like chlorobenzene and dichlorobenzene, the carbon-halogen bond undergoes homolytic photochemical cleavage resulting in a large number of free radicals. The free radicals initially combine to yield large polyaromatic molecules which grow in dimensions with increasing time of laser irradiation. As the larger species formed are removed by filtration, the GQDs remain in the irradiated liquid halobenzene system because of their high dispersibility and nano-sized dimensions.
The GQDs show a bright blue luminescence in the visible when excited in UV light (365 nm). The PL emission is broad over a range of 400 to 580 nm in the visible spectrum, typical of luminescent carbon nanostructures. A quantum yield of 2.56 % was calculated for these particles. TEM images reveal a size distribution over 5-30 nm and AFM shows a thickness of 2-3 nm for these GQDs. We also studied the bio-imaging and cell viability properties of these GQDs post- functionalization.
9:00 AM - RR15.90
Effect of Graphene Growth Conditions on Bulk Electron Mobility and Conductivity of Graphene on Sio2 Substrates
Aamna Alshehhi 2 Faisal Abdulla AlMarzooqi 3 1 2 Amal Al Ghaferi 1 Irfan Saadat 2
1Masdar Institute Abu Dhabi United Arab Emirates2Masdar Institute Abu Dhabi United Arab Emirates3Masdar Institute Abu Dhabi United Arab Emirates
Show AbstractAllotropes of carbon in general and graphene in particular attracted significant attention in many fields during its early stage discovery in 2004 and continued to do so. Graphene has super electrical properties with electron mobility in the range of 3,000 - 10,000 cm2/Vs making it an excellent material for many electrochemical applications [1]. One of the potential areas of graphene applications is in capacitive deionisation (CDI) desalination as a corrosion resistant electrode [2, 3]. This chemically stable, large surface area nanomaterial is an ideal candidate for this application. In this regard the optimisation of electrical properties of graphene is essential.
This study investigates the effect of the substrate used during growth, on the electrical properties of graphene. Graphene is synthesised using the chemical vapour deposition (CVD) technique on three different substrates namely a standard medium grain Cu foil, a large grain Cu foil and a small grain Cu foil. The graphene thin films grown on each substrate are then transferred [4] to a SiO2 substrate, acting as a reference substrate for all the graphene samples. The samples are then characterised using Scanning Electron Microscopy (SEM). Then the conductivity and electron mobility of the different graphene samples on SiO2 are measured using the LakeShore 7700A Hall measurement system and the results for each differently grown graphene are analysed.
References
1. K. Novoselov, A. K. Geim, S. Morozov, D. Jiang, Y. Zhang, S. Dubonos, I. Grigorieva and A. Firsov, Science 306 (5696), 666-669 (2004).
2. H. Li, L. Pan, T. Lu, Y. Zhan, C. Nie and Z. Sun, Journal of Electroanalytical Chemistry 653 (1-2), 40-44 (2011).
3. Z. Wang, B. Dou, L. Zheng, G. Zhang, Z. Liu and Z. Hao, Desalination 299 (0), 96-102 (2012).
4. S. Bae, H. Kim, Y. Lee, X. Xu, J.-S. Park, Y. Zheng, J. Balakrishnan, T. Lei, H. R. Kim and Y. I. Song, Nature nanotechnology 5 (8), 574-578 (2010).
9:00 AM - RR15.91
Effect of Graphene Concentration on Electron Mobility of Graphene/P3HT Nanocomposites
Faisal Abdulla AlMarzooqi 3 1 2 Md. Mahfuzur Rahman 1 Amal Al Ghaferi 1
1Masdar Institute Abu Dhabi United Arab Emirates2Masdar Institute Abu Dhabi United Arab Emirates3Masdar Institute Abu Dhabi United Arab Emirates
Show AbstractConductive organic polymers received significant research interest in the past decade for their application in organic solar cells (OSCs). Conversely OSCs suffer relatively low mobility [1]. Poly(3-hexylthiophene-2,5-diyl) (P3HT) [2] is a potential candidate since it possess characteristics of high mobility [3] and good solubility in organic solvents, compared to other solution based organic materials [4]. Reported mobility in P3HT is in the order of 10-5-10-4 cm2/Vs using a Hall Measurement System (HMS) [5, 6]. However, mobility in P3HT is depended on the ordering of the polymer and it has been shown that for ordered polymers, mobility increased to 10-1 cm2/Vs [7]. Blending carbon allotropes in P3HT, towards enhancement of mobility have been widely practiced in literature and mobility measurements in most cases involved the fabrication of a device e.g. transistor [4]. In particular graphene has attracted significant attention due to its higher mobility [6] and better dispersion within the P3HT matrix compared to Carbon Nanotubes (CNTs) [8].
This work presents an approach in measuring mobility of graphene/P3HT nanocomposite using Conductive Atomic Force Microscopy (CAFM) and the Mott-Gurney law. Graphene density is varied and mobility is measured starting from a pure P3HT polymer to 30% graphene/P3HT. Graphene/P3HT is characterised using CAFM current mapping.
References
1. J. V. Li, A. M. Nardes, Z. Liang, S. E. Shaheen, B. A. Gregg and D. H. Levi, Organic Electronics 12 (11), 1879-1885 (2011).
2. V. Saini, Z. Li, S. Bourdo, E. Dervishi, Y. Xu, X. Ma, V. P. Kunets, G. J. Salamo, T. Viswanathan, A. R. Biris, D. Saini and A. S. Biris, The Journal of Physical Chemistry C 113 (19), 8023-8029 (2009).
3. M. Al-Ibrahim, H. K. Roth, U. Zhokhavets, G. Gobsch and S. Sensfuss, Solar Energy Materials and Solar Cells 85 (1), 13-20 (2005).
4. V. Saini, O. Abdulrazzaq, S. Bourdo, E. Dervishi, A. Petre, V. G. Bairi, T. Mustafa, L. Schnackenberg, T. Viswanathan and A. S. Biris, Journal of Applied Physics 112 (5), 054327 (2012).
5. C. Waldauf, P. Schilinsky, M. Perisutti, J. Hauch and C. J. Brabec, Advanced Materials 15 (24), 2084-2088 (2003).
6. C. Tanase, E. J. Meijer, P. W. M. Blom and D. M. de Leeuw, Physical Review Letters 91 (21), 216601 (2003).
7. H. Sirringhaus, P. Brown, R. Friend, M. Nielsen, K. Bechgaard, B. Langeveld-Voss, A. Spiering, R. A. Janssen, E. Meijer and P. Herwig, Nature 401 (6754), 685-688 (1999).
8. Y.-W. Chang, S.-W. Yu, C.-H. Liu and R. C.-C. Tsiang, Journal of Nanoscience and Nanotechnology 10 (10), 6520-6526 (2010).
9:00 AM - RR15.92
Large Area Freestanding Single Layer Graphene-Gold: Novel Plasmonic Nanostructures
Swathi Iyer 1 Ferenc Borondics 1 Jian Wang 1 Chithra Karunakaran 1 Garth Wells 1 Micheal Bradley 2
1Canadian Light Source Inc Saskatoon Canada2Canadian Light Source Saskaton Canada
Show AbstractSingle Layer Graphene (SLG) has drawn tremendous interest owing to its unique electronic properties, which offers unprecedented opportunities in opto- and nanoelectronic devices. Recently, SLG has been coupled with plasmonic nanostructures to efficiently enhance its optoelectronic responses, which is being exploited for photo detecting and (bio)sensing applications. In pursuit of the same, recent research activities have utilised thin layer or nanostructures of plasmonic materials (gold, silver) on Si/SiO2/, graphene system to enhance the optoelectronic response of graphene. However, substrate-induced effects have enormous influence on a system built from a single layer of carbon atoms.
At CLS, we fabricate large area freestanding SLG/Au thin film and nanoisland systems. By understanding the electronic structure of these devices we aim to create novel plasmonic devices for sensing applications. The size, morphology of various nanostructures of gold [(2D (layer), nano-wire like, nano-spheres] formed on the freestanding SLG (FSLG), the interface between FSLG and the nanostructures, alters the internal stress and strain, greatly impacts the electronic structure. The influence of the morphological changes of these plasmonic nanostructures on the properties of FSLG was investigated. In this presentation we discuss the interaction of Au nanostructures; strain/stress and charge transfer in the FSLG and its plasmonic activity using techniques such as Raman spectroscopy and Scanning Transmission X-ray Microscopic (STXM) techniques. Importantly, the localised SLG-Au interfacial interactions at the nanoscale studied for the first time using high spatial resolution STXM will be presented.
9:00 AM - RR15.93
Carrier Dynamics in Coupled 0D-2D Systems
Thomas Switaiski 1 Ulrike K. Woggon 1 Dorian Alden Angeles 2 Axel Hoffmann 2 Jan-Hindrik Schulze 2 Tim David Germann 2 Andre Strittmatter 2 Udo W. Pohl 2
1TU Berlin Berlin Germany2TU Berlin Berlin Germany
Show AbstractCombinations of nanostructures of different dimensionalities, such as coupled 0D-2D structures offer a broad tuning range for energy and radiative lifetimes as well as of density of states and relaxation times. We present a combined system of two different nanostructures made by coupling a stack of ultrathin 2D- sub-monolayers (SML) of InAs depositions to a seed layer of InAs Stranski-Krastanov QDs separated by a spacer layer of GaAs. The ground state of the SML stack can be tuned to resonance with the excited states of the QDs. The energy overlap and the spatial proximity enable the interaction between the 0D-QD system and the 2D-SML stack which likewise exhibits 0D-localized states. The spacer thickness d as the coupling parameter as well as the optical transition energies of the SML stack can be adjusted via the growth process. A motivation for the study of such a 0D-2D system is an expected self-ordering during SML growth by the strain field induced from the QDs. Furthermore, the small inhomogeneous broadening of an SML stack allows a precise tuning of the optical transition energies and, e.g., of the spectral gain to resonator modes. Hereby the entire volume of the active medium can contribute to the gain allowing for high power lasers and high-speed surface-emitting lasers as demonstrated with InAs/GaAs SML stacks. We will show that the SML recombination dynamics is remarkably governed by state filling and Pauli-blocking of states arising from both 0D and 2D densities of states. The carrier dynamics becomes fully controlled by populating and saturating the 0D-resonances via energy relaxation from the SML stacks to the QD ground state. The observed dynamics of the combined SK QD and SML system is well described by a rate equation model which includes both pure 0D and 0D-2D states. The experimental results give evidence, that the coupling between QDs and SML stack is tuneable by changing the spacer thickness d. This offers a parameter to control the decay time at the SML stack luminescence energy. The transfer time constant is in the range of 66 ps for the case of d = 5 nm and <13 ps for d<3 nm. Thus, SML stacks with a QD layer are coupled systems with combined 0D-2D density of states whose properties can be controlled by the spacer thickness and the excitation power and allow engineering the population of states and relaxation dynamics.
9:00 AM - RR15.94
Temperature Study of CVD Graphene on Cu Thin Films: Competition between C Catalysis and Cu Dewetting
Giampiero Amato 1 Luca Croin 1 2 Gianluca Milano 3 Ettore Vittone 3
1INRIM Turin Italy2Politecnico di Torino Torino Italy3Unversitamp;#224; di Torino Torino Italy
Show AbstractWe are presenting results on in-situ measurements of Cu dewetting on SiO2 substrates. Dewetting of metallic thin films can be observed at temperatures below the melting point of the metal and accidentally these are close to the ones used for CVD of graphene.
First steps of the dewetting involve the reconstruction and reorganisation of the thin film surface, then it evolves in creating holes in the film and finally islands. It is clear that a deep knowledge of all the parameters involved in this process is very important and can lead to an optimization of graphene CVD.
This work presents results obtained with a new in-situ investigation technique that takes advantage of the optical reading in the infrared region of a pyrometer and permits a real time detection of dewetting. Samples have been heated in a rapid thermal processing system that allows fast heating and cooling ramps and good control of temperature along the process carried out on copper thin films obtained via electron beam evaporation.
The thickness of the film strongly influences this process and several thickness values ranging from 200nm to 600nm have been studied; in parallel a deep investigation has also been carried out through different pressure conditions, in vacuum, or with chamber filled with hydrogen and/or graphene precursor.
The characterization of the films has been done by scanning electron microscopy and when dewetting occurred a deep analysis of shape and contact angle of the islands has been done.
9:00 AM - RR15.95
A New Transfer Technique for Graphene Deposited by CVD on Metal Thin Films
Giampiero Amato 1 Enrico Simonetto 2 Luca Croin 1 3 Ettore Vittone 2
1INRIM Turin Italy2Universitamp;#224; di Torino Torino Italy3Politecnico di Torino Torino Italy
Show AbstractWe are presenting results on deposition of Graphene by Chemical Vapour Deposition on Cu thin films. We have deposited Graphene at 650°C using ethanol as gas precursor obtaining this way a material where the ratio between Raman G and G&’ peaks approaches 0.5. Such characterization has performed onto the original Cu substrate, getting rid of the Cu luminescence by means of 442 nm illumination, in order to check the material properties before and after the transfer process.
The new transfer approach is based on the technique used in MEMS production and consists in bonding two polymeric layers at high pressure and with system temperature nearby the glass-transition-temperature of polymer. By this way the Graphene layer gets stuck between polymer and Cu substrate. After bonding, a first SiO2 etching in diluted HF permits the wafers splitting and the Graphene transfer.
Cu etching in FeCl3 then exposes the Graphene surface.
This new technique avoids any Graphene damage, as demonstrated by the observation that intensity ratio between G and G&’ Raman peaks remains practically unchanged.
Flakes of Graphene are then transferred on top of an insulating substrate, which make them suitable for electrical measurements and realization of devices.
The flakes have the same shape and relative position than on Cu.This opens up the possibility of prepattern Graphene directly onto the metal surface and then transfer the same geometry onto the insulating substrate.
9:00 AM - RR15.96
The Stabilizing Effect of a Conformal Carbon Coating over Nanopillar Arrays Grown by Glancing Angle Deposition
Peter Wilson 1 Alexander Sinitskii 1 Tino Hofmann 2
1University of Nebraska-Lincoln Lincoln USA2University of Nebraska-Lincoln Lincoln USA
Show AbstractCoating nanopillar arrays grown by glancing angle deposition (GLAD) with graphene can provide increased potential for chemical functionalization greatly enhancing their applicability for chemical sensing. One possible method for growing a conformal carbon coating over nanopillars is chemical vapor deposition (CVD). However, a primary difficulty for chemical vapor deposition over nanopillars is that these arrays are not able to withstand the elevated temperatures at which CVD occurs, since typical CVD of graphene processes occur at or near 1000 degrees C. We show that when CVD with an acetylene precursor is performed at lower temperatures, an amorphous carbon coating is grown over the surface of the nanopillars, which retain their original structure. Furthermore, we show that this amorphous carbon coating serves to stabilize these nanopillar arrays at temperatures that would normally significantly damage them.
9:00 AM - RR15.97
Chemical Vapor Deposition of Graphene on Nanostructures
Peter Wilson 1 Gilbert Mbah 1 Alexander Sinitskii 1 Tino Hofmann 2
1University of Nebraska-Lincoln Lincoln USA2University of Nebraska-Lincoln Lincoln USA
Show AbstractFabrication of three dimensional nanostructures of graphene is an important advance in a host of applications. Chemical vapor deposition (CVD) is one method whereby 3D graphene nanostructures can be made. However, due to the elevated temperatures used in a typical CVD growth, nanostructures can be easily damaged during the growth process. In this paper, we compare the ability of methane and acetylene precursors to decompose and form graphene over the surface of the catalyst metal foil at temperatures sufficiently low to preserve the integrity of the nanostructures. An optimal growth temperature at which CVD over nanostructures can occur is selected for growth on different nanostructures, cobalt and nickel nanopillar arrays grown by glancing angle deposition (GLAD) and nickel inverse opals grown by polystyrene-templated electrodeposition. A general technique whereby CVD can be used to coat nickel nanostructures with graphene is proposed herein. The quality of the graphene-coated nanostructures was analyzed by Raman spectroscopy, SEM, and EDX. We show nanostructures with large crystalline domains can withstand high temperature CVD, whereas polycrystalline nanostructures grown by GLAD suffer damage at the low growth temperature of 500 degrees C. Furthermore, we show that cobalt nanopillars are more resistant to thermal damage than their nickel counterparts.
9:00 AM - RR15.98
Synthesis and Characterization of Nanoparticle-Graphene Nanocomposites for Application in the Photoreduction of CO2 to Hydrocarbons under Visible Light
Joao Eduardo Benedetti 1 Bruna Mancuzo 1 Ana Flavia Nogueira 1
1Unicamp Campinas Brazil
Show AbstractThe photocatalytic conversion of CO2 by using sunlight has been considered one of the most promising routes for the production of renewable fuels. In this field, the search for new photocatalytic materials has attracted increasing attention in the past years. Titanium dioxide (TiO2) has been considered the most appropriate candidate for CO2 photoreduction due to its powerful photocatalytic properties, low cost and resistance to photocorrosion. Nevertheless, a relatively poor yield is achieved in photocatalysis under visible light when using only TiO2, due to its high bandgap energy (Eg of ca. 3.2 eV for anatase crystalline phase). This limitation can be circumvented by using Cu2O (Eg = 2.2 eV) in combination with TiO2. In order to improve photocatalytic performance, both semiconductors can be synthetized on reduced graphene oxide (RGO) to provide a nanocomposite material endowed with promising photocatalytic activity. Therefore, in this work we prepared Cu2O/TiO2/RGO composites for the photocatalytic reduction of CO2 by using visible light and water vapor only. Cu2O/RGO and TiO2/RGO were also analyzed for comparison purposes. For the photoreduction of CO2 to hydrocarbons, approximately 8 mg of the composite material was deposited onto a glass substrate, which was then placed inside a homemade photocatalytic cell. The system was first evacuated to a base pressure of ca. 7 mBar to remove air and then dosed with 0.2 Bar of a mixture of CO2 and H2O. Our preliminary results indicated the presence of methane as a by-product.
9:00 AM - RR15.99
The Evolution of the Physical and Electronic Structure of Bilayer Graphene upon Chemical Functionalization
Qing Hua Wang 1 Chih-Jen Shih 1 Geraldine Paulus 1 Michael Strano 1
1Massachusetts Institute of Technology Boston USA
Show AbstractBilayer graphene is increasingly attractive for electronic applications because of its electric field tunable band structure and band gap. However, while the chemical functionalization of monolayer graphene has been widely explored to date, there is much less known for bilayer graphene. Of particular interest in bilayer graphene is the use of chemical functionalization to generate an external electric field to open a bandgap. Here we show the covalent and non-covalent functionalization of bilayer graphene using an electrochemical process with aryl diazonium salts, and we use Raman spectroscopic mapping and conductive atomic force microscopy (cAFM) to study the resulting changes in the physical and electronic structures. We report that under strong covalent functionalization, the G peak of bilayer graphene splits into two components that broaden in width and shift in position with increasing functionalization, the D peak forms with four components, and the 2D peak decreases in intensity in the 2D_12 and 2D_11 components but broadens in width in the 2D_22 and 2D_21 components. These changes suggest a complex interplay between the physical and electronic structures of bilayer graphene. We report for the first time these distinct changes observed in the Raman spectra as a function of degree of covalent and non-covalent functionalization, which can provide a guide for further studies of the chemistry of bilayer graphene.
9:00 AM - RR7.31
Defects in the Graphene-Substrate Interface Detected by Atomic Force Acoustic Microscopy
Qing Tu 1 Zehra Parlak 1 Robert Ferris 1 Stefan Zauscher 1
1Duke University Durham USA
Show AbstractGraphene has extraordinary mechanical and electrical properties and holds great promise for applications in flexible electronics and sensors. In many cases, such graphene-based devices rely on the functionalization of a substrate with a layer of graphene. The conformation of graphene on the substrate and the bonding between graphene and the substrate influence graphene&’s electronic properties and the stress transferred from the substrate to the graphene layer. Here we show that the defects in the graphene-substrate interface can be detected and mapped non-destructively by atomic force acoustic microscopy (AFAM). AFAM is a dynamic AFM technique that is sensitive to the tip-sample contact stiffness. Our contact stiffness mapping indicates that a graphene covered silicon surface appears softer than the bare substrate, which initially contradicts the notion that graphene is among the stiffest materials known. We show that this apparent contradiction arises from a layer of water molecules in the graphene-substrate interface. Using topography data, we are able to build a layered structure model to simulate contact stiffness mapping. The model results match the experiments well. Furthermore, using surface chemical patterns on a silicon surface, we show that the contact stiffness in hydrophobic areas is higher than that in hydrophilic areas. These differences suggest that by reducing the density of water molecules in the interface one can manipulate the graphene-substrate stiffness.
RR11: Graphene Applications I
Session Chairs
Thursday AM, December 05, 2013
Sheraton, 2nd Floor, Constitution A
9:45 AM - RR11.01
Flexible Graphene Composites for Human Space Flight Applications
Edward D. Sosa 1 2 Mary Jane E. O'Rourke 2
1ERC Inc. Houston USA2NASA Johnson Space Center Houston USA
Show AbstractGraphene holds great promise for the advancement of a host of technologies, among these gas barrier technologies. Unlike most permeation barriers, which restrict gas diffusion by producing a tortuous pathway for gases to move through the material, graphene deflects incoming gas molecules. The ab initio calculations of Leenaerts use density functional theory to show that the potential energy barrier is so large that penetration at any temperature is practically impossible. Recently, Nair et al. observed that oxidation of graphene allows for permeation by water while helium is still excluded. The National Aeronautics and Space Administration (NASA) is interested in taking advantage of graphene's gas barrier properties to facilitate long duration space exploration. Materials that can impede or selectively allow the permeation of low molecular weight gases are highly desirable for various applications: For inflatable habitat structures as bladders, for liner-less composite pressure vessels, for air revitalization systems as filters, for space suit fabrics, and for food and drug packaging.
Laminate graphene composites were fabricated by embedding graphene between sheets of elastomeric polymer films. Graphene was deposited on either polyethylene or polyurethane sheets by either spray coating or vacuum filtering films of graphene nanoplatelets. Coated polymers sheets were inspected by optical and electron microscopy to assess the degree of coverage. Laminates were then formed by hot pressing graphene coated polymer films with plain polymer films. Permeation of these films by helium were measured via a vacuum apparatus designed and assembled in house. The pressure on one side of the composite was held at high vacuum while the pressure on the other side was filled with helium at 1 atm. Helium was chosen as the test gas as it is a monoatomic inert gas with the smallest atomic radius. The increase in the partial pressure of helium with respect to time was used to calculate the permeation rates of composites tested. Graphene laminates showed 100-fold improvement in the resistance to permeation of helium.
10:00 AM - RR11.02
Highly Sensitive Nitric Oxide Sensor for Biological Detection
Shan Jiang 1 Xiang Wang 2 Yu Huang 3 Xiangfeng Duan 1 Andre Nel 2
1UCLA Los Angeles USA2UCLA Los Angeles USA3UCLA Los Angeles USA
Show AbstractReal time monitor of nitric oxide (NO) concentration is of central importance for probing diverse roles of NO in neurotransmission, cardiovascular systems, and immune responses. The current NO sensors are often limited by either insufficient sensitivity or poor spatiotemporal resolution for real-time detection in complex biological systems. Here we report a new design of highly sensitive and specific NO sensors based on hemin functionalized graphene field-effect transistors (FETs). With single atomic thickness and the highest carrier mobility of all known materials, graphene can promise unprecedented sensitivity for molecular sensing. The non-covalent functionalization through π-π interaction allows reliable immobilization of NO binding specific hemin molecules on graphene without breaking graphene lattice to ensure highly sensitive and specific detection of NO. Our studies demonstrate the graphene-hemin sensors exhibit a lowest detection limit of 0.3 nM and a fast response time of approximately 1 s in physiological solutions. The graphene-hemin sensors have an active area of 0.25 micrometer squares or smaller, about 4-5 orders of magnitude smaller than the active area of the current NO sensing electrochemical electrodes with low nanomolar detection limits, promising a new platform for highly sensitive monitor of biological NO distribution with high spatiotemporal resolution. Furthermore, in vitro studies show that the graphene-hemin sensor can be used for real time detection of NO generation from macrophage cells and endothelial cells over a long period of time, demonstrating its practical functionality for real-time monitor of low concentration NO in biological systems.
10:15 AM - *RR11.03
Towards Graphene-Based Neuroprosthetics
Jose Garrido 1
1Technische Universitamp;#228;t Mamp;#252;nchen Garching Germany
Show AbstractThe development of the future generation of neuroprostheses will require the advancement of novel solid-state sensors with a further improvement in the signal detection capability, a superior stability in biological environments, and a more suitable compatibility with living tissue. Due to the maturity of Si technology, Si-based MOSFETs have been extensively used in previous decades for these applications. However, the high electronic noise and poor stability associated to Si technology have motivated the search for more suitable materials. In this respect, the outstanding electronic and electrochemical performance of graphene, together with its ability to be integrated with flexible substrates holds great promise for bioelectronics. In this presentation, I will discuss our work towards the development of a graphene-based platform for neuroprosthetic applications.
10:45 AM - RR11.04
A High Speed High Sensitivity Graphene Nanoelectronic Vapor Sensor
Girish Shrinivas Kulkarni 1 Karthik Reddy 1 2 Zhaohui Zhong 1 Xudong Fan 2
1University of Michigan Ann Arbor USA2University of Michigan Ann Arbor USA
Show AbstractFast and reliable on-site vapor monitoring for defense, industry, homeland security and environmental applications place stringent requirements on speed, sensitivity, size and stability of electronic vapor sensors. Nanoelectronic devices are an ideal platform for vapor sensors due to their extremely large surface-to-volume ratios, high carrier mobility, high compatibility and ease of on-chip integration with modern electronic technologies. Currently, nearly all nanoelectronic vapor sensors rely ubiquitously on detection of electrochemical potential or conductance change associated with charges of the adsorbed molecules. However, the biggest shortcoming of these vapor sensors is their extremely slow sensing response and recovery, typically on the order of 10-100s of seconds. The slow response arises intrinsically from the slow dynamics of interface trapped charges in these nanoelectronic sensors which is extremely challenging to overcome within the current framework of the sensing mechanisms. Here, we report a radically different sensing technique based on the detection of vapor molecules&’ dipole moments rather than their charges. In contrast to the existing nanoelectronic sensing technologies where the DC signal is used, our approach utilizes the graphene transistor as a high-frequency mixer with the surface-adsorbed molecules functioning as an oscillating electrostatic gate. At higher frequencies, AC field switching outpaces the slow dynamics of interface states, thereby overcoming the slow sensing response times which hamper conventional nanoelectronic sensors. Importantly, we demonstrate rapid (~ 0.1 s) and high sensitivity (< 1 ppb) detection of a wide range of analytes separated by a gas chromatography column, thus presenting orders of magnitude improvement in both response times and sensitivity over the current state-of-the-art sensors. These results not only open the door for a novel frequency-mixing based nanoelectronic sensing methodology, but can also lead to rapid and high sensitivity graphene sensors ideally suited for micro gas chromatography systems.
RR12: Other 2D Materials Characterization II
Session Chairs
Thursday AM, December 05, 2013
Sheraton, 2nd Floor, Constitution A
11:30 AM - RR12.01
Tailoring the Band Structure in Twisted Bilayer Molybdenum Disulfide
Arend Marcel van der Zande 1 Alexey Chernikov 1 2 Jens Kuntsmann 4 YuMeng You 1 2 XiaoXiao Zhang 2 Daniel Chenet 3 Timothy Berkelbach 1 4 David Reichman 1 4 James C Hone 1 3 Tony F Heinz 1 2
1Columbia University New York USA2Columbia University New York USA3Columbia University New York USA4Columbia University New York USA
Show AbstractMono- and few-layer transition metal dichalcogenides (TMDs), such as molybdenum disulfide, are a new class of two dimensional semiconductors. Both in terms of novel physics and potential applications for TMDs, there is great interest in forming out-of-plane layered heterostructures of similar and dissimilar 2D materials. However, even going from monolayer to bilayer TMDS give drastic changes to the electronic, optical, and phonon properties, showing that these materials can be extremely influenced by interlayer interactions. Little is known about these interlayer interactions, and all studies have so far focused on only the layer dependence of crystalographically aligned similar materials. In this work, we show that it is possible to drastically tune the bandstructure of bilayer molybdenum disulfide by simply changing the twist angle between the layers.
We fabricate twisted bilayers of molybdenum disulfide (tBL-MoS2) by taking triangles of CVD grown single crystals of known crystal orientation [1]. We transfer one set of triangles on to another using a dry transfer procedure. We achieve dozens of tBL-MoS2 with twist angles from near zero degrees (corresponding to aligned layers similar to the 3R phase), to near 60 degrees (corresponding to anti-aligned layers similar to the natural 2H phase).
We characterize the tBLMoS2 using optical spectroscopy. We use second harmonic generation to confirm layer orientation and interlayer twist angles. The photoluminescence and linear reflectance absorption directly probe the optical transitions available in the semiconductor. We report on the systematic variation of the electronic properties of tBL-MoS2 as a function of the twist angle demonstrated in optical spectra.
The experimental trends are explained in terms of electronic structure calculations, which associate the electronic variation to changes in the average interlayer height as a function of angle due to the repulsion of protruding sulfur atoms at the bilayer interface.
[1] van der Zande et al., “Grains and grain boundaries in highly-crystalline monolayer molybdenum disulfide” Nature Materials, 12, 554-561 (2013)
11:45 AM - RR12.02
Intrinsic Structural Defects in Monolayer MoS2
Wu Zhou 1 Xiaolong Zou 2 Sina Najmaei 2 Zheng Liu 2 Yumeng Shi 3 Jing Kong 3 Jun Lou 2 Pulickel M Ajayan 2 Boris Yakobson 2 Juan Carlos Idrobo 1
1Oak Ridge National Laboratory Oak Ridge USA2Rice University Houston USA3Massachusetts Institute of Technology Cambridge USA
Show AbstractMonolayer molybdenum disulfide (MoS2) is quasi-two-dimensional crystal, consisting of two layers of S atoms separated by one Mo atomic layer. Its unique physical and chemical properties hold promises for applications in novel nanoelectronics and optoelectronics devices. However, the performance of these devices strongly depends on the quality and defect morphology of the MoS2 layers.
Here we provide a systematic study of intrinsic structural defects in high-quality CVD grown monolayer MoS2, including point defects, dislocations, grain boundaries, and edges, via direct atomic resolution Z-contrast imaging, and explore their energy landscape and electronic properties using first-principles calculations [1, 2, 3]. Z-contrast imaging enables direct observation of various vacancies, as well as anti-site defects of Mo and S. We find that extended electron irradiation to the MoS2 layer preferentially generates mono-sulfur vacancies and MoS3 vacancy complexes, providing flexibility to tune the performance of the material via controlled electron irradiation.
The peculiar bonding characteristics between Mo and S in MoS2 render a variety of dislocation cores, including not only the topologically conventional one with five- and seven-fold (5|7) rings, but also new core structures with 4|4, 4|6, 4|8 and 6|8 fold rings which are distinct from those present in graphene. We discover that 60 degree grain boundaries can be created via two different types of 4|4 ring chains, both of which are predicted to be one-dimensional metallic wires embedded in the semiconducting matrix [3]. In addition, a new type of edge reconstruction, representing a transition state during growth, was also identified via Z-contrast imaging.
Since all layered transition-metal dichalcogenides (TMDC) have very similar structures, the structural defects observed in this study are expected to be present in other 2D TMDC materials.
References:
[1] W. Zhou et al., Nano Letters, 13, 2615-2522 (2013).
[2] S. Najmaei et al., Nature Materials, doi:10.1038/nmat3673 (2013).
[3] X. Zou et al., Nano Letters, 13, 253-258 (2013).
This research was supported in part by NSF grant No. DMR-0938330 and a Wigner Fellowship through the Laboratory Directed Research and Development Program of Oak Ridge National Laboratory (ORNL), managed by UT-Battelle, LLC, for DOE (WZ); ORNL's Shared Research Equipment (ShaRE) User Facility Program (JCI), which is sponsored by the Office of Basic Energy Sciences, DOE; the Welch Foundation grant C-1716, the NSF grant DMR-0928297, the U.S. Army Research Office MURI grant W911NF-11-1-0362, the U.S. Office of Naval Research MURI grant N000014-09-1-1066, and the Nanoelectronics Research Corporation contract S201006. The computations were performed under support from NSF Grant CNS-0821727 and Grant OCI-0959097. YS and JK acknowledge the support from NSF DMR-0845358.
12:00 PM - *RR12.03
Structural and Electronic Properties of Silicene
Irene Paola De Padova 1 Patrick Vogt 2
1Consiglio Nazionale delle Ricerche Roma Italy2Technishce Universitat Berlin Berlin Germany
Show AbstractSilicene, a single layer of silicon in a honeycomb graphene-like, was just born in 2012 [1]. This new allotrope of silicon, arranged in a sp2-like configuration, both for 1D SiNRs [2] and 2D silicene sheet [1, 3], presents many exciting promises due, typically to its Dirac fermions and its expected direct compatibility with current silicon based electronics.
First, it has been synthesised in the form of isolated nano-ribbons (SiNRs) 1.6 nm in width [4], 1D 5×2/5×4 grating with a pitch of just 2 nm [5], as well as perfectly aligned 1D multilayer of silicene with a very high aspect ratio, on the anisotropic Ag(110) surface [6]. Subsequently, the discovery of 2D sheet silicene grown on Ag(111) [2] as well as the growth of 2D multilayer silicene has been obtained [7, 8].
The electronic structures of the SiNRs by angle-resolved photoemission (ARPES) shows that they are 1D materials [4, 5], whereas the dispersion of the bands allows to identify states corresponding to the 1D projection of the π and π* Dirac cones in silicene [5] similar to graphene. The presence of threefold Si-Si σ bonds [2] and the very low reactivity to molecular oxygen of the 5×2/5×4 grating [9] further compares favourably with graphene and the sp2-like hybridization of the Si-Si bonds of the SiNRs [2]. On the other hand, we used ARPES to map the entire Brillouin zone (BZ) of (radic;3×radic;3)R30° reconstructed epitaxial multilayer silicene islands, growing on top of the first (3×3) reconstructed silicene wetting layer, on Ag(111) substrates [8]. These multilayer epitaxial sheets grown on the Ag(111) surface [8] exhibit in ARPES features which display circular horizontal cross-sections in precise positions in momentum space. We found Λ- and V-shape linear dispersions, which we relate to the π and π* bands of massless quasiparticles in multilayer silicene, at the BZ centre Γ0 and at all the Γ centres of the (radic;3×radic;3)R30° Brillouin zones in the extended scheme, due to folding of the Dirac cones at the K and K&’ points of the (1×1) silicene BZ. The Fermi velocity of ~ 0.3×106 ms-1 obtained is highly promising for potential silicene based devices.
[1] P. Vogt, P. De Padova et al., Phys. Rev. Lett. 2012, 108, 155501.
[2] P. De Padova et al., Appl. Phys. Lett., 2011, 98 081909.
[3] J. Avila, P. De Padova et al., J. Phys.: Condens. Matter 2013, 25, 262001.
[4] P. De Padova, et al., Nano Lett., 2008, 8, 271; P.De Padova et al., Nano Lett., 2008, 8, 2299.
[5] P. De Padova et al., Appl. Phys. Lett., 2010, 96, 261905.
[6] P. De Padova et al.,, Nano Lett., 2012, 12, 5500-5503.
[7] De Padova et al., Appl. Phys. Lett., 2013, 102, 163106.
[8] P. De Padova et al., sumitted.
[9] P. De Padova et al., J. Phys. D: Appl. Phys. Fast Track Comm., 2011, 44, 312001.
12:30 PM - RR12.04
Lateral Confinement Effects on Few-Layered Topological Insulator Bismuth Selenide
Anthony Joseph Vargas 1 Susmita Basak 1 Fangze Liu 1 Robert Markiewicz 1 Arun Bansil 1 Swastik Kar 1
1Northeastern University Boston USA
Show AbstractBi2Se3 is a 3D topological insulator with its strong spin-orbit coupling resulting in the well-known topologically protected coexistence of gapless metallic surface states and semiconducting bulk states with a band gap, E_g = 300 meV. A fundamental question of considerable importance is how the electronic properties of this material evolves under nanoscale confinements. We report on high-quality single-crystalline Bi2Se3, grown via catalyst-free chemical vapor deposition, with controlled lateral sizes and layer thicknesses that could be tailored down to a few nanometers and a few quintuple layers (QLs), respectively. In this work, we probe the effect of confinement on the band gap of these Bi2Se3 nanoplates using scanning tunneling microscopy.
12:45 PM - RR12.05
Optical Properties of Monolayer Molybdenum Disulfide at High Carrier Density
Yuxuan Lin 1 Xi Ling 1 Allen L. Hsu 1 Lili Yu 1 Alexandre R. Paschoal 1 Eduardo B. Barros 3 2 Mildred S. Dresselhaus 1 2 Tomas Palacios 1 Jing Kong 1
1Massachusetts Institute of Techonology Cambridge USA2Massachusetts Institute of Technology Cambridge USA3Universidade Federal do Cearamp;#225; Fortaleza Brazil
Show AbstractTwo-dimensional transition metal dichalcogenides (2D TMDCs) have been reported as a new family of layered materials with remarkable electronic and optical properties. Among them, molybdenum disulfide (MoS2) is a direct bandgap semiconductor, with strong photoluminescence and promising ubiquitous applications in electronics. In this study, we studied the optical properties of monolayer MoS2 that is heavily n-doped by electrochemistry method. By employing polymer electrolyte as the gate dielectric on monolayer MoS2 grown by chemical vapor deposition (CVD), a large carrier density (~5×1013cm-2) can be obtained. The Raman and photoluminescence (PL) measurement were carried out at room temperature under various gate voltages from -3V to +3V in which range the MoS2 behaved as n-doped semiconductor shown by the transport measurement. It was found that the Raman vibrational mode A1g is broadened and softened at high carrier density while the E2g1 is less sensitive to the doping level. This is contributed to the strong electron-phonon coupling in two-dimensional crystals. A distinct shift and an exponential intensity decay of both A and B excitons (located at around 1.85 eV and 2.05 eV, respectively) were observed from PL spectra and the reason is that high carrier density can induce more exciton-electron non-radiative transitions that diminish the radiative process. This work provides a way to determine the doping level of MoS2 samples, which would be critical for studies on MoS2 synthesis and electronic and optoelectronic device fabrications.