Symposium Organizers
Yi Cui Stanford University
E. (Erik) P. A. M. Bakkers Philips Research Laboratories
Lincoln Lauhon Northwestern University
Alec Talin Sandia National Laboratories
LL1: Growth Mechanisms
Session Chairs
Monday PM, December 01, 2008
Room 312 (Hynes)
9:30 AM - **LL1.1
Screw Dislocation Driven Nanowire Growth.
Song Jin 1
1 , University of Wisconsin-Madison, Madison, Wisconsin, United States
Show AbstractI will report a “new” nanowire formation mechanism that is completely different from the well-known metal catalyzed vapor-liquid-solid (VLS) mechanism. The screw component of an axial dislocation provides the self-perpetuating steps to enable 1-dimensional crystal growth, unlike previously understood mechanisms that require metal catalysts. This mechanism was found in hierarchical nanostructures of lead sulfide (PbS) nanowires resembling “pine trees” that were synthesized via chemical vapor deposition. Structural characterization reveals a screw-like dislocation in the nanowire trunks with helically rotating epitaxial branch nanowires. The rotating trunks and branches are the consequence of the Eshelby twist of screw dislocations. We suggest that screw dislocation growth is overlooked and underappreciated in modern literature on one-dimensional nanomaterials. The proposed nanowire growth mechanism will be general to many materials and enable more complex nanostructures to be synthesized in the future to enable diverse applications.
10:00 AM - LL1.2
Formation of Chiral Branched Nanowires by the Eshelby Twist.
Jia Zhu 1 , Hailin Peng 1 , Ann Marshall 1 , David Barnett 1 , William Nix 1 , Yi Cui 1
1 , Stanford, Stanford, California, United States
Show AbstractManipulating the morphology of inorganic nanostructures, such as chirality and branching, has been actively pursued for controlling the electrical, optical and mechanical properties. Notable examples of chiral inorganic nanostructures include carbon nanotubes, Au multi-shell nanowires (NWs), meosporous NWs, and helical NWs. Branched nanostructures have also been studied with interesting properties for energy harvesting and nanoelectronics. Combining both chiral and branching motifs into nanostructures might provide new materials properties. Here we show a chiral-branched PbSe NW structure, which is formed by a vapor-liquid-solid (VLS) branching from a central NW with an axial screw dislocation. The chirality is caused by the elastic strain of the axial screw dislocation, which produces a corresponding Eshelby Twist (ET)in the NWs. These chiral branched NWs not only open up the opportunity for tailoring nanomaterial properties, but also provide a direct visualization of the ET.
10:15 AM - LL1.3
Step-Flow Growth of a Nanowire in VLS and VSS Processes.
Alexander Golovin (Deceased) 1 , Stephen Davis 1 , Peter Voorhees 1
1 Engineering Sciences and Applied Mathematics, Northwestern University, Evanston, Illinois, United States
Show Abstract10:30 AM - LL1.4
Growth of Ultra Thin ZnSe Nanowires.
Tai Lun Wong 1 , Yuan Cai 1 , Siu Keung Chan 1 , Iam Keong Sou 1 , Ning Wang 1
1 Physics, The Hong Kong University of Science & Technology, Hong Kong China
Show AbstractThe unique configuration of the metal catalytic growth of nanowires (also known as the vapor-liquid-solid (VLS) growth) makes it very promising for applications in nanotechnology. The classical VLS growth is mainly determined by the supersaturation in the metal catalyst droplet and can be well predicted by thermodynamic theories. Ultra thin semiconductor nanowires with diameters smaller than 10nm, however, often show interesting and unusual growth behaviors. We report here ultra thin ZnSe nanowires grown at low temperatures by Au-catalyzed molecule beam epitaxy and structural characterization of the nanowires. Different from the nanowires formed at high temperatures, these nanowires contained a high density of stacking faults and twins and often show phase transformation from cubic to hexagonal structures. Ultra thin ZnSe nanowires can grow at the temperature below the eutectic point and display diameter-dependence (or temperature-dependence) of growth direction [1] and diameter-dependence of growth rate which can not be interpreted by the classical model of VLS growth and the surface incorporation and diffusion mechanisms [2]. For low-temperature growth of nanowires, the relationship between the growth rates and nanowire diameters can be described by V= 1/d^n+ C0 (C0 is a constant and n is a fitting parameter of about 1-2). According to our investigation, the growth rate of the ultra thin nanowires at low temperatures can be elucidated based on the interface incorporation and diffusion model, in which the catalyst is solidified and the atoms deposited on the substrate and the side walls of the nanowire diffuse into the interface between catalyst and the nanowire and thus control the nanowire growth. With the Fisher model for grain boundary or interface diffusion, the growth rate of ZnSe ultra-thin nanowires has been simulated and the fitting parameter n was determined to be 4/3. [1] Y. Cai, S. K. Chan, I. K. Sou, Y. F. Chan, D. S. Su, and N. Wang, Adv. Mater. 18 (2006) 109-113.[2] N. Wang, Y. Cai, R.Q. Zhang, Mater. Sci. Eng. R60 (2008) 1-51.
10:45 AM - LL1.5
Vapor-solid-solid Growth Mechanism Driven by Epitaxial Match Between Solid AuZn Alloy Catalyst Particle and ZnO Nanowire at Low Temperature.
Rodrigo Lacerda 1 , Leonardo Campos 1 , Matteo Tonezzer 1 , Andre Ferlauto 1 , Rogerio Magalhaes-Paniago 1 , Sergio Oliveira 1 , Luiz Ladeira 1 , Vincenzo Grillo 2
1 Departamento de Física, ICEX, Universidade Federal de Minas Gerais, Belo Horizonte, Minas Gerais, Brazil, 2 , TASC-INFM-CNR National Laboratory, Trieste, Area Science Park - BasoVizza, Italy
Show AbstractThe present work provides a comprehensive concept for the precise mechanism of vapor–solid–solid (VSS) ZnO nanowire growth at low temperatures and gives the fundamental reasons responsible for such growth.[1] We demonstrate, by using acombination of synchrotron X-ray diffraction (XRD) analysis and high-resolution transmission electron microscopy (HRTEM), that the growth dynamics at low temperatures are not governed by the well-known VLS mechanisms. Based on the Au–Zn phase diagram, temperature measurements and temperature–size effects, we show that growth occurs via a VSS mechanism. The precise composition of the Au–Zn catalyst nanoparticle was determined to be gama-AuZn. Furthermore, we observed experimentally that there was an indication of an epitaxial relationship between the ZnO nanowires and the gama-AuZn seed particle. A critical, new insight on the driving factor behind VSS growth is presented, in which the VSS process occurs by a solid diffusion mechanism that is driven by preferential oxidation of the Zn inside the alloycatalyst induced by an epitaxial match between the ZnO(10–10) plane and the gama-AuZn(222) plane. We believe that these results are not only important for the understanding of ZnO nanowire growth, but they may also have a significant impact on the understanding of growth mechanisms of other nanowire systems.[1] L. C. Campos et al, Advanced Materials, 20, 1499 (2008).
11:00 AM - LL1: Growth
BREAK
11:30 AM - LL1.6
In-situ TEM Observation of Cu-catalyzed Silicon Nanowire Growth via the Vapor-solid-solid Mechanism.
Cheng-Yen Wen 1 , Mark Reuter 2 , Eric Stach 1 , Frances Ross 2
1 Department of Materials Science and Engineering, Purdue University, West Lafayette, Indiana, United States, 2 , IBM T. J. Watson Research Center, Yorktown Heights, New York, United States
Show AbstractWe have investigated the morphology and growth mechanism of Cu-catalyzed silicon nanowires using in-situ UHV TEM. Cu was thermally evaporated onto the sample surface under UHV, and images were recorded at video rate as disilane was flowed into the TEM pole-piece at pressures between 10-7 and 10-5 Torr and sample temperatures from 500 to 550oC. We first discuss the transformation of Cu to Cu3Si as Si is added, and show the eventual formation of solid Si. We then discuss the growth kinetics and structure of epitaxial silicon nanowires. During growth, the catalyst on the wire tips was identified to be η’-Cu3Si, which is a stable phase in the above temperature range. Growth of the wires is, therefore, under the vapor-solid-solid mechanism. During wire growth, dark-field images of the catalyst showed a periodic contrast oscillation proportional to the gas pressure. We ascribe this to small but periodic changes in the catalyst orientation, which are caused by a measurable crystal rotation as each Si layer grows at the catalyst/wire interface. We will discuss the relationship between the nanowire growth direction and the orientation of the catalyst. Finally, we will discuss the oxidation of Cu-catalyzed Si nanowires. Oxidation occurs around the wire tips on air exposure, eventually penetrating into the catalyst/wire interface and leading to interesting structures that may have applications in electronic devices.
11:45 AM - LL1.7
Modeling of Nanowire Growth.
Jerry Tersoff 1 , K. Schwarz 1
1 , IBM Watson Center, Yorktown Heights, New York, United States
Show AbstractSemiconductor nanowires are commonly grown by the vapor-liquid-solid (VLS) process. In VLS, material from a vapor source is incorporated via a liquid catalyst, with the droplet size determining the wire diameter. Ideally, this gives long straight smooth wires. However, the reality is typically more complex. Depending upon the growth conditions, wires can be straight or tapered, smooth or rough; and they can grow in different, crystallographically inequivalent orientations.We present a model for VLS growth, which can describe many of these phenomena. We derive explicit dynamical equations for the evolution of the crystal and the liquid catalyst droplet. Results are presented for several illustrative cases. Wire shapes similar to Si <111> and <110> oriented wires are obtained for different choices of surface-energy anisotropy. Allowing catalyst diffusion gives tapering just as in experiment. And as in experiment, the wires generally have a distinct tapered base.Our model is based on a physical picture that is straightforward, but very different from approaches in the literature. The liquid drop applies a stress to the solid, due to surface tension. Because the system is not in equilibrium, the forces at the triple-phase junction are in general unbalanced, and we calculate the dynamics of wire growth and catalyst motion in response to these forces. Kinetic effects are clearly seen, for example the shape of the steady-state growth front depends on growth rate. We explicitly include anisotropy in the surface energy of the crystal, which leads to sharp transitions in the evolution from initial drop to wire, as new facets appear. We believe that this approach provides a new and deeper understanding of the rich phenomenology of nanowire growth.
12:00 PM - LL1.8
Vapor-liquid-solid Si Nanowire Growth Modes Determined using in Situ Transmission Electron Microscopy.
Prashanth Madras 1 , Eric Dailey 1 , Peter Crozier 1 , Renu Sharma 2 , Jeff Drucker 1 3
1 School of Materials, Arizona State University, Tempe, Arizona, United States, 2 LeRoy Eyring Center for Solid State Science, Arizona State University, Tempe, Arizona, United States, 3 Department of Physics, Arizona State University, Tempe, Arizona, United States
Show AbstractSi nanowire(NW) growth via the vapor-liquid-solid(VLS) method was investigated in real time using a Tecnai F20 environmental transmission electron microscope(E-TEM). The NWs were grown using disilane from Au seeds. Real time imaging using in situ TEM enables monitoring of NW growth modes as a function of growth parameters: substrate temperature and disilane pressure. NW growths were investigated for temperatures between 350 and 650°C and disilane pressures in the range of 1 to 4.5mtorr. Au deposition parameters were adjusted so that NWs of two distinct diameters were investigated: 60-80nm(small) and 180-210nm(large). Regions of temperature-pressure space were identified with characteristic NW growth morphologies.Transitions between growth modes were induced by changing the growth parameters. The growth modes can be broadly classified as absence of growth, wormy growth, kinked growth and straight growth. Wormy growth describes the apparently random changes of NW growth direction. During kinked growth, the NWs grow straight in a well-defined crystallographic direction between localized kink sites where the growth direction changes. For straight growth, the NWs grow in a particular crystallographic direction without kinks. All growth modes can be observed on the same NW since the transitions between the modes were found to be reversible. No NW growth was detected below the AuSi eutectic(363°C) for any disilane pressure. Increasing the temperature to 380 ± 15°C resulted in a transition to wormy growth for all pressures, regardless of NW diameter. A further increase in temperature by 20°C resulted in kinked growth. The transition from kinked to straight growth is more complex and is pressure, temperature and diameter dependent. Larger diameter NWs transition from kinked to straight growth at higher P and lower T than do smaller diameter NWs. Microstructural characterization of wormy NWs revealed amorphous and crystalline character while kinked NWs are perfect crystals. Electron diffraction patterns obtained in situ immediately after NW growth indicated that wormy segments exhibit diffraction signatures combining amorphous and crystalline character. High resolution TEM revealed that straight and kinked NWs are perfect crystals. No defects were observed at the sites where the NWs bend. We believe that the transition between growth modes may be due to kinetic growth instability. We speculate that lower growth temperatures or higher disilane pressures may produce a higher density of 2D nuclei at the liquid-solid interface. In combination with an Ehrlich-Schwoebel barrier, multilayer interfaces may form that destabilize planar growth and facilitates the switch to an alternate growth direction. At even lower growth temperatures, liquid-solid interfacial roughening may randomize the growth direction resulting in wormy growth. Further in situ investigation is underway to more concretely identify the origin of these growth instabilities.
12:15 PM - LL1.9
Molecular Dynamics Investigations of AtomisticProcesses at the Solid-Liquid Interface in VLS Nanowire Growth.
Tomorr Haxhimali 1 2 , Dorel Buta 2 , Jeffrey Hoyt 3 , Mark Asta 2 , Peter Voorhees 1
1 , Northwestern University , Evanston, Illinois, United States, 2 , University of California, Davis, Davis, California, United States, 3 , McMaster University, Hamilton, Ontario, Canada
Show AbstractWe present results of atomistic simulations investigating mechanisms at the solid-liquid interface underlying nanowire growth by the vapor-liquid-solid (VLS) mechanism. These atomistic simulations employ a model potential forpure Si, with the driving force for growth applied by undercooling. The simulations yield an equilibrium solid-liquid interface structure that is non-planar, with a (111) faceted orientation bounded by curved (rough) orientations near the solid-liquid-vacuum contact line. The curvedportions of the solid-liquid interface lead to a large capillary undercooling which increases in magnitude with decreasing nanowire diameter. In non-equilibrium growth simulations, the interface shape is preserved. Growth is observed to proceed in a layer-by-layer mode with a rate limited by the nucleation of new (111) terraces. For a given driving force, measured as the undercooling below the capillary-corrected coexistence temperature, the growth rates are observed to increase with decreasing nanowire diameter. These results are interpreted to reflect a size dependence of the barrier for terrace nucleation. The origin of thiseffect and its consequences for the synthesis of nanowires from liquid alloy catalysts in the vapor-liquid-solid growth method will be discussed.
12:30 PM - LL1.10
General Control of the Growth, Faceting, and Composition of Transition-Metal-Doped GaN Nanowires.
Pavle Radovanovic 1 , Kevin Stamplecoskie 1 , Shokouh Farvid 1 , Ling Ju 1
1 Chemistry, University of Waterloo, Waterloo, Ontario, Canada
Show AbstractDoping semiconductor nanowires (NWs) with paramagnetic transition-metal dopant ions represents an effective means of imparting new magnetic properties into these promising materials, thereby expanding their intrinsic functionalities. We describe here the controlled synthesis and characterization of manganese-, cobalt-, and chromium-doped GaN NWs, and the effect of dopant ions on the growth and faceting of GaN NWs. For all three impurity dopants hexagonal, triangular, and rectangular NWs were observed. The fraction of NWs having a particular morphology depends on the initial concentration of the dopant precursors. While all three dopant precursors have the identical effect on NW growth and faceting, Co and Cr are incorporated at much lower concentrations than Mn. These findings suggest that the doping mechanism involves binding of the transition-metal intermediates to specific NW facets, inhibiting their growth and causing a change in the NW morphology. We discuss the doping concentrations of Mn, Co, and Cr in terms of differences in their crystal-field stabilization energies (ΔCFSE) in their gas-phase intermediates and in substitutionally-doped GaN NWs. The doping concentration dependence on ΔCFSE allows for the prediction of achievable doping concentrations for different dopant ions in GaN NWs, and for a rational choice of a suitable dopant-ion precursor. The described methodology enables a general and rational control of GaN NW growth using transition-metal impurities, and the studies of both pure and doped GaN NWs with respect to their structure, composition and morphology. These results can be applied for the design and preparation of new multifunctional 1-D nanostructures for spintronics and other electronic technology applications.
12:45 PM - LL1.11
Ab-initio Based Growth Simulations of GaN Based Nanowires.
Liverios Lymperakis 1 , Jörg Neugebauer 1
1 , Max-Planck-Institut fuer Eisenforschung GmbH, Duesseldorf Germany
Show AbstractGaN and related III-Nitride based semiconductors are materials widely used in the optoelectronics industry for short wavelength photonic applications as well as for high frequency high power devices. GaN based one dimensional nanostructures such as nanowires (NWs) have recently emerged as potential candidates for nanodevice applications [1]. The axial direction of the GaN NWs is along the c-axis, of the wurtzitic crystal while the facets are assumed to consist of non-polar surfaces. In order to achieve improved device features a better control over the properties of the growth mechanisms taking place on the top as well as on the side facets of the novel nanostructures is required.An often observed feature of the non-polar GaN surfaces is the in-plane anisotropic morphology [2]. In order to gather a consistent and on-atomic-scale description of the in-plane growth anisotropy of the non-polar surfaces and subsequently of the growth mechanisms of the GaN based nanowires, a systematic study of the thermodynamics and kinetics of the corresponding non-polar surfaces is required. While extensive and detailed theoretical studies on the thermodynamics and kinetics of the polar c-plane surfaces exist, a detailed analysis which combines thermodynamics and kinetics of the non-polar a- and m-plane surfaces is still lacking. We have therefore performed plane wave pseudopotential calculations within the density functional theory in order to address the thermodynamics and the adatom kinetics on a- and m- plane GaN surfaces. Based on our ab-initio derived surface phase diagrams we find that both classes of non-polar surfaces exhibit similar structures: both stabilize metallic rich surfaces for Ga rich growth, while going towards more N rich conditions the stoichiometric surfaces become thermodynamically preferred. Based on the calculated surface structures we have determined the potential energy surface for Ga and N adatom on them. For the Ga adatoms (which are the minority species for N rich growth) the energy surface reveals a strong anisotropy in the diffusion barriers for both a- and m-plane surfaces. For N adatoms (which are the minority species for Ga rich growth) our results show a subsurface diffusion channel which becomes activated already at low growth temperatures. Also for this diffusion channel a strong growth anisotropy is observed. Based on these results we consistently explain and describe the atomistic mechanisms governing the growth of the GaN nanowires. [1] J. C. Johnson et al., Nature Materials 1, 106 (2002).[2] H. Wang et al., Appl. Phys. Lett. 84, 499 (2004).
LL2: Advances in Growth & Characterization
Session Chairs
Monday PM, December 01, 2008
Room 312 (Hynes)
2:30 PM - **LL2.1
Semiconductor Nanowires: From Growth and Assembly to Physics and Devices.
Lars Samuelson 1
1 Solid State Physics / the Nanometer Structure Consortium, Lund University, Lund Sweden
Show AbstractGrowth of III-V materials and structures as nanowires (NWs) has the advantage in allowing very flexible combination of different materials, also such having different lattice constants, and to nucleate III-V materials on a silicon platform. In this talk I will first describe the status of our research on lithographically controlled growth of arrays of nanowires, including recent progress in formation of axial and radial heterostructures as well as branching structures. I will then report recent progress in physics studies of transport and optical properties, primarily related to quantum dots in NWs. Finally I will give an up-date on different kinds of devices recently realized in NWs, such as light-emitting nanowire devices and wrap-gate field-effect transistors, the latter performed within the EU-project NODE, 015783.
3:00 PM - LL2.2
Growth and Characterization of Single Wurtzite GaAs Nanowires with Defect-free Zinc Blende GaAsSb Inserts.
Thang Hoang 1 , Dheeraj Dasa 1 , Gilles Patriarche 2 , Anthonysamy Moses 1 , Hailong Zhou 1 , Ton van Helvoort 1 , Jean-Christophe Harmand 2 , Bjørn-O Fimland 1 , Weman Helge 1
1 , Norwegian University of Science and Technology, Trondheim Norway, 2 , CNRS-LPN, Marcoussis France
Show AbstractWe report on the growth, structural and optical characterization of single wurtzite-GaAs/zinc blende-GaAsSb nanowires. The one dimensional heterostructures, containing one or several GaAsSb segments (~20 nm long) inserted along ~ 20-30 nm diameter GaAs nanowires, were grown by Au-assisted molecular beam epitaxy (MBE) method. Structural characterization of single nanowires showed atomic abruption at the transition from the wurzite GaAs to zinc blende GaAsSb. A 4H-polytype GaAs crystal structure was observed when GaAs was grown directly after the zinc blende GaAsSb insert, where the length of the 4H-polytpe GaAs was found to be dependent on the Ga flux rate. The growth rate of the GaAs and GaAsSb segments were observed to increase with time during the nanowire growth, showing evidence of a shadowing effect due to the large density of nanowires. Low temperature (10 K) micro-photoluminescence measurements on single nanowires showed strong, sharp (~4 meV linewidth) emission at 1.254 eV from the GaAsSb insert. Due to the type-II band alignment of the GaAs/GaAsSb heterojunction, this emission is believed to be due to the spatially indirect recombination between confined holes in the quantum dot-like GaAsSb insert and Coulomb attracted electrons at the two GaAs interfaces. At high power excitation, two higher energy lines at 4.5 and 8.3 meV above the ground state recombination were observed. These higher energy peaks are believed to be due to exciton recombination involving additional holes confined in the GaAsSb insert.This type-II nanowire quantum dot system promises interesting physics as well as potential for developing nanowire lasers based on single-exciton gain.
3:15 PM - LL2.3
Electrical Interfaces in Semiconductor Nanowire Assemblies: a Surface Potential Study.
Angela Narvaez 1 , Thalita Chiaramonte 1 , Klaus Vicaro 1 , Joao Clerici 1 , Monica Cotta 1
1 IFGW, UNICAMP, Campinas, SP, Brazil
Show AbstractNanosystems today can be produced with good control of size, shape and structure, thus turning into an important component for electronic devices. Recent works evaluating possible nanoelectronics devices, however, have shown that the electrostactic characteristics of the nano-objects they are based on still need to be addressed. Such characteristics can be investigated with spatial resolution by Kelvin Probe Force Microscopy (KFM). This technique can provide information on the charge distribution and electronic structure of nano-objects. In this work, KFM was implemented to obtain spatially resolved electrical measurements on InP, InAs and InP-InAs-InP heterostructured nanowires (NWs), assembled both individually and in junctions. In the latter case, the acquired data can identify resistive sections of the elements, an important information regarding possible applications of this type of nano-objects as percolation conduction channels in electrical devices.Surface Potential (SP) images were acquired simultaneously with topography. The measurements were carried out in a nitrogen controlled atmosphere to minimize humidity and oxidation effects in the sample. InP, InAs, and InP-InAs-InP NW’s were mechanically transferred to a doped Si substrate, where a thin (~100nm) Pt film was previously deposited as a reference for SP measurements. KFM images show SP variation along individual NW’s; a dependence on NW diameter was observed. For InP NWs, SP values closer to those obtained for thick InP epitaxial films corresponded to NW sections with larger diameters. The SP variation is larger closer to the thin NW tip, where a more metallic-like character can be observed. For heterostructured NWs, changes in SP values reflect the different materials and the presence of the nanoparticle (NP) used to catalyze the growth. A larger SP variation in the “neck” region close to the NP/NW interface suggests the formation of an InAsP alloy. When the NWs are assembled in junctions, however, the SP images indicate charge accumulation at defined regions correlated to the junctions formed. These results will be discussed based on an effective ‘electrical contact’ formed at the NW tip as well as the variation of charge associated to the increasing semiconductor volume observed.
3:30 PM - **LL2.4
Advances in Synthesis of III-V Nanowire and Their Optoelectronic Applications.
Deli Wang 1
1 ECE, UCSD, La Jolla, California, United States
Show Abstract4:00 PM - LL2: Adv Growth
BREAK
4:30 PM - LL2.5
Growth and Optical Properties of Ga-assisted MBE Grown GaAs Nanowires and Related Prismatic Quantum Heterostructures.
Anna Fontcuberta i Morral 1 2 , Dance Spirkoska 2 , Carlo Colombo 1 2 , Matthias Heigoldt 2 , Gerhard Abstreiter 2 , Jordi Arbiol 3 4 , Joan Ramon Morante 3
1 Institute of Materials, Ecole Polytechnique Federale de Lausanne, Lausanne Switzerland, 2 Walter Schottky Institut, Technical University of Munich, Garching Germany, 3 EME/CeRMAE/IN2UB, Departament d’Electrònica , University of Barcelona, Barcelona Spain, 4 Serveis cientificotecnics, University of Barcelona, Barcelona Spain
Show Abstract4:45 PM - **LL2.6
Controlled Growth and Characterization of III-V Nanowire Heterostructures.
Silvija Gradecak 1 , Sung Keun Lim 1 , Michael Tambe 1 , Megan Brewster 1 , Matthew Smith 1 , Chun Hao Tseng 1
1 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractGrowth of ternary alloy nanowires broadens the application range of semiconductor nanowires by enabling more complex axial and radial heterostructures for enhanced and/or novel nanowire device functions. Despite the obvious advantages of ternary nanowires, their controlled growth is far from being elucidated due to the complex thermodynamic and kinetic phenomena that compete during the catalyst-mediated growth process. We will discuss the growth and doping of III-V ternary nanowires and nanowire heterostructures using metalorganic chemical vapor deposition (MOCVD) as well as advanced electron microscopy techniques for direct correlation of structural and physical properties with high spatial resolution. Growth and characterization of ternary AlxGa1-xAs nanowires as a function of temperature and V/III ratio will be presented. Furthermore, controlled growth of vertically-aligned GaAs/AlGaAs core-shell nanowires for applications in high mobility electronics has been demonstrated. By optimizing the shell deposition temperature and catalyst density we maintain high temperature stability and achieve defect-free epitaxial AlGaAs shell deposition with high aluminum incorporation. Nanowire device functionalities are sensitive to miniscule changes in interface quality and interdiffusion between different nanowire segments or surface passivation layers, as it will be demonstrated on the examples of GaAs/AlGAs and GaN/InGaN nanowire heterostructures. We have developed parallel cathodoluminescence in transmission electron microscopy (ParaCL-TEM) to directly correlate structural and optical information on the nanometer scale. ParaCL-TEM measurements combined with atomically-resolved Z-contrast imaging yield critical data needed for future development of nanowire heterostructures.
5:15 PM - LL2.7
HREELS and HRTEM Analysis of the Mg Doped GaN Nanowires: Consequences on the Electronic and Optoelectronic Properties.
Jordi Arbiol 1 2 , Sonia Estrade 2 , Joan Daniel Prades 2 , Albert Cirera 2 , Francesca Peiro 2 , Florian Furtmayr 3 , Martin Eickhoff 3 4 , Mhairi Gass 5 , Andrew Bleloch 5 , Joan Ramon Morante 2
1 TEM-MAT, Serveis Cientificotecnics, Universitat de Barcelona, Barcelona, CAT, Spain, 2 Dept. d'Electronica, Universitat de Barcelona, Barcelona, CAT, Spain, 3 Walter-Schottky-Institut, Technische Universität München, Garching Germany, 4 Physikalisches Institut, Justus-Liebig-Universität, Giessen Germany, 5 SuperSTEM Laboratory, STFC Daresbury, Daresbury United Kingdom
Show AbstractThe incorporation of dopants during the nanowire growth can cause structural modifications that are revealed by significant changes in their electrical or optical properties. In this contribution we report on the structural features caused by Mg doping of catalyst-free GaN nanowires grown by Plasma Assisted Molecular Beam Epitaxy (PAMBE) in order to obtain a p-type nanostructure. We discuss their consequences on the electrical and optoelectronical nanowire properties. The most outstanding phenomena in the analyzed Mg-doped GaN nanowires are related to the high density of twins and stacking fault defects, according to detailed HRTEM studies performed using samples with increasing Mg concentration. This high concentration of misplaced atoms gives rise to local changes in the crystal structure that correspond to the appearance of zinc-blende (ZB) quantum wells along the wurtzite (W) nanowire growth axis. For a deeper analysis of these structural changes, High Resolution Electron Energy Loss (HREELS) spectra were obtained exactly on the zinc-blende and wurtzite planes. These atomically resolved measurements allowed us to identify the changes in band gap (from 3.4 eV for wurtzite to 3.2 eV for cubic lattice regions (ZB 2ML QWs), respectively), as well as changes in the density of states which are in good agreement with specific ab initio atomistic simulations of these two modifications. Changes in nanowire morphology due to Mg doping have also been studied, namely stepped surfaces, which become more pronounced with increasing Mg-content. A correlation among the obtained photoluminescence (PL) band spectra will be discussed on the basis of the obtained structural characterization data and performed ab initio modeling.
5:30 PM - LL2.8
Interface Charge Induced P-type Characteristics of Vertically Grown Si1-xGex Nanowires.
Han-Kyu Seong 1 , Myoung-Ha Kim 1 , Il-Soo Kim 1 , Eun-Kyoung Jeon 2 , Jeong-O Lee , Ju-Jin Kim 2 , Heon-Jin Choi 1
1 Department of Materials Science and Engineering, Yonsei University , Seoul Korea (the Republic of), 2 Department of Physics, Chonbuk National University, Jeonju Korea (the Republic of)
Show Abstract5:45 PM - LL2.9
Epitaxial Catalyst-free Growth and Characterization of Nanowires of Strongly Correlated VO2 Exhibiting a MIT Transition.
Stefan Loffler 1 , Alois Lugstein 1 , Erwin Auer 1 , Emmerich Bertagnolli 1
1 Institute for Solid State Electronics, Vienna University of Technology, Vienna, Vienna, Austria
Show AbstractLL3: Poster Session: Growth Mechanisms
Session Chairs
Tuesday AM, December 02, 2008
Exhibition Hall D (Hynes)
9:00 PM - LL3.1
Effect of Growth Parameters and Silicon-doping on Boron Carbide Nanostructures.
Varun Gupta 1 , Giovanni Fanchini 1 , Steve Miller 1 , Jafar Al-Sharab 1 , James Bentley 2 , Manish Chhowalla 1
1 Materials Science and Engineering, Rutgers University, Piscataway, New Jersey, United States, 2 Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractGrowth of Boron Carbide (B4C) nanowires and nanostructures produced using solid-liquid-solid (SLS) synthesis method will be presented. A systematic study to investigate the influence of growth parameters such as boron to carbon (B:C) ratio, temperature (1000, 1150 and 1250°C), catalyst composition and Si-doping on the types of nanostructures formed has been conducted. In addition to pristine B4C nanostructures, influence of Si-doping on the growth characteristics of the nanostructures was investigated. Based on our detailed study using scanning electron microscopy (SEM), transmission electron microscopy (TEM), electron energy loss spectroscopy (EELS) and energy dispersive spectroscopy (EDS), we propose a preliminary model for the growth mechanism of B4C and Si-doped nanostructures. Our study provides a simple method for the growth of B4C nanowires which can be incorporated into photovoltaic, thermoelectric and lightweight armor applications.
9:00 PM - LL3.10
Selective Placement of GaN Nanowires Grown with Molecular Beam Epitaxy.
Kris Bertness 1 , Lorelle Mansfield 1 , Aric Sanders 1 , Todd Harvey 1 , Devin Rourke 1 , Norman Sanford 1
1 , NIST, Boulder, Colorado, United States
Show AbstractWe show that the selective nucleation of GaN nanowires can be achieved using the catalyst-free molecular beam epitaxy growth method. Patterned SiNx masks on Si substrates show selectivity over a narrow range of growth temperature, and this temperature depends on Ga flux and N2 plasma conditions. This range can be expanded with use of an AlN buffer layer under the SiNx mask. The natural nitridation of bare Si surfaces exposed to the N2 plasma also inhibits nanowire nucleation at low Ga flux, but this same low Ga flux also leads to polycrystalline GaN layer formation instead of nanowire nucleation on neighboring regions of AlN. Nucleation of nanowires also occurs on both the SiNx mask and exposed Si or AlN when the Ga flux is high and temperature about 10 °C lower than that required for selectivity. Interestingly, the density of nanowires on the SiNx mask is frequently higher than on neighboring regions of bare silicon in this case. Finally, we also observe that surface morphology can have a significant effect on nucleation of nanowires, such that they will often nucleate in etch pits or at mask edges when growth conditions produce only partial selectivity. Typical operating conditions during growth of GaN nanowires were a nitrogen flow of 2.1 µmol/s (3 sccm) with 350 W of RF power for the nitrogen source and a Ga beam equivalent pressure of about 1 x 10-5 Pa. Substrate temperatures were 820 °C for uniform nanowire growth and 830 °C for selective epitaxy.
9:00 PM - LL3.11
Epitaxial Growth of Si Nanowires by a Modified VLS Method using Molten Ga as Growth Assistant.
Annika Gewalt 1 , Bodo Kalkofen 1 , Marco Lisker 1 , Edmund Burte 1
1 Faculty of Electrical Engineering and Information Technology, Institute of Micro and Sensor Systems, Chair of Semiconductor Technology, Otto-von-Guericke University, Magdeburg Germany
Show AbstractThe epitaxial growth of Si nanowires (NWs) is one of the main subjects among the active research work. This is due to the increase of potential applications, and the steadily shrinking of electronic devices according to Moore`s law. Because of their diminutive size, millions more transistors than currently feasible will fit on a single microchip. However, several materials and fabrication related problems need to be addressed before electronic devices based on Si NWs can be reliably manufactured. In the present study the synthesis of Si NWs, grown by Ga assisted microwave PECVD on (111)-oriented single crystalline p-doped Si substrates, was investigated. Initially, various experiments were carried out to selectively deposit Ga. For this purpose, Si wafer masked with structured SiO2 were used as substrates. Immediately before the Ga deposition step, these substrates were treated in different ways to remove the native oxide of the unmasked surface. On some specimen an oxide etch dip was carried out. Others were only treated by in situ H2/Ar plasma. Then, a couple of samples were exposed to both cleaning steps. For the PECVD step trimethylgallium was used as precursor and H2 as carrier gas. The process parameters like gas flows, dc bias, and deposition time were varied. The effect on the generated Ga islands was investigated. Also, the influence of a following in situ annealing procedure was analyzed. For morphological characterization, SEM and AFM images were taken. It has been observed, that the islands only occur on the Si surface and not on the oxide mask. However, it was found, that the Ga islands grow in a disordered manner in arrengement, and dimensions. A deposition mask consisting of a fine structured oxide could be used to get a well ordered array of islands on the silicon. In addition to the structural study, EDX and XPS analysis were carried out to get information of the chemical composition from the sample surface and in-depth after Ar bombardment. In a second step, PECVD was also used for the growth of Si NWs from the Ga seed. Here, silane diluted in H2 was used as reactant. SEM images showed differences in the growth types of the grown NWs on one and the same specimen. Some wires appear to be relatively uniform, vertically grown with a smooth surface as well as a similar diameter, and a consistent length up to several µm. Other seemed to grow bow-like out of the Ga island and back to the surface. These wires have rough surfaces and cross each other. Even the density of the NWs varies on one specimen, position dependent. To find out the cause of these differences in the growth behavior, it is necessary to completely understand the growth process in dependence of the parameters and the template conditions, respectively. For the electrical characterization, doped NWs of interests will be picked out of a bundle with a "nano grabber". A picked wire will then be contacted on both ends to supply a voltage, and the current will be measured.
9:00 PM - LL3.12
Investigation of Preferred Growth Direction of GaN Nanorods by Synchrotron X-ray Reciprocal Space Mapping.
Yuri Sohn 1 , Sanghwa Lee 1 , Chinkyo Kim 1 , Dong Ryeol Lee 2
1 Physics, Kyunghee University, Seoul Korea (the Republic of), 2 Pohang Accelerator Laboratory, Pohang University of Science and Technology, Pohang Korea (the Republic of)
Show AbstractGaN has been used for short wavelength light-emitting devices, high power and high temperature devices due to its wide and direct-bandgap [1-4]. Hetero epitaxial growth of GaN on foreign substrates is commonly used for the growth of GaN films because of the absence of lattice-matched substrates. Thus, it is difficult to grow high quality GaN films because of the high dislocation density caused by the large mismatch of thermal expansion coefficient and lattice between GaN and the substrates. One dimensional (1D) structures such as nanorods and nanowires are promising configurations to reduce dislocation density and strain of GaN in comparison with thin films. It was previously reported that light emitting diode structures utilizing GaN nanorods grown by hydride vapor phase epitaxy (HVPE) showed improved optical properties compared to that made of broad area films because of reduced defect density and confinement effect in 1D [5]. In comparison with the growth of GaN nanorods by using metalorganic vapor phase epitaxy(MOVPE), metalorganic chemical vapor deposition(MOCVD) and molecular beam epitaxy (MBE) with with metal catalysts, catalyst free growth of GaN nanorods is relatively less investigated. In the case of HVPE, catalyst free GaN nanorods can be obtained. In this work, a horizontal HVPE system was used for preparing GaN nanorods. Ga metal and NH3 gas were used as source materials. NH3 gas was mixed with GaCl generated from the reaction of HCl gas and Ga metal and GaN nanorods were formed on C-plane sapphire (0001) with 0.3°-miscut toward M-plane (10-10). We investigated the preferred growth direction of catalyst free grown GaN nanorods by utilizing secondary electron images (SEIs) and synchrotron X-ray reciprocal space mapping (XRSM). Most of GaN nanorods were grown along c-direction, but there was more than one preferred growth direction and XRSM method clearly showed the relative orientations of these preferred growth direction. Details on preferred growth direction of GaN nanorods will be discussed in conjunction with analysis of XRSM data.This work was supported in part by the Seoul Research and Business Development Program-Grant No.10583.
9:00 PM - LL3.13
Low Temperature Growth of Silicon Nanowires using a Copper Catalyst.
Vincent Renard 1 , Vincent Jousseaume 1
1 Leti, CEA, Grenoble France
Show AbstractMetal-catalysed unidirectional assembly of silicon crystals was discovered four decades ago [1]. Today, this growth mode is used to produce Silicon Nanowires (SiNWs) when the metal particle is of nanometer size. The interest in SiNWs is fuelled by their unique properties and the broad range of potential applications from electronics [2] to photovoltaic [3] and even biology [4]. At high temperatures (temperatures higher that the eutectic temperature between Silicon and the metal), the growth is usually understood as resulting from the Vapor-Liquid-Solid (VLS) mechanism first described by Wagner and Ellis [1]. The liquid alloy particle catalyses the dissociation of the gaseous precursor of Silicon (usually SiH4). Silicon is then incorporated into the droplet and precipitates to form the nanowire. This growth mode has for a long time been thought as the only possibility to produce SiNWs. Recent works however provided evidences that the growth could also occur via a Vapor-Solid-Solid (VSS) mechanism where the catalyst particle remains in the solid phase below the eutectic temperature [5,6].We show that Copper, which is rarely used as a catalyst for SiNWs [7,8], is a good candidate for the study of such low temperature growth mechanisms. Silicon nanowires were produced at T=400°C by chemical vapor deposition on Si wafers. Very different types of nanowires are obtained depending on the growth conditions from nano-trees, saw tooth faceted nanowires, straight thin wires. It is also shown that a combination of a plasma surface treatment of the catalyst prior the CVD growth step at low temperature allow to improve the growth process.The success of growing SiNWs using a Copper catalyst at low temperature opens up new opportunities in terms of applications, in particular in the field of interconnects where Copper is the preferred conducting material. [1] Wagner, R. S. & Ellis, W. C. Appl. Phys. Lett 4, 89–90 (1964).[2] Thelander C et al Materials Today 9, 28 (2006)[3] Tian, B et al Nature 449, 885 (2007)[4] Patolsky, F et al Nat. Protocols 1, (2006)[5] Kodambaka, S et al Science 316, 729 (2007)[6] Wang, Y et al Nat. Nanotech 1, 186 (2006)[7] Arbiol, J et al Nanotechnology 18, 305606 (2007)[8] Yao, Y & Fan, S Matter. Lett 61, 177 (2007)
9:00 PM - LL3.16
Matrix Formation Leading to Catalyst Free Growth of GaN Nanowires.
Joshua Halpern 1 , Gary Harris 3 , Maoqi He 3 , Piezhen Zhou 3 , Christina Cheek 2
1 Chemistry, Howard University , Washington, District of Columbia, United States, 3 Howard Nanoscale Science and Engineering Facility, Howard University, Washington, District of Columbia, United States, 2 Electrical Engineering, Howard University, Washington, District of Columbia, United States
Show AbstractCatalyst-free vapor-solid GaN nanowire growth proceeds through formation of a GaN matrix the top layer of which is composed of hexagonal platelets. Multiphase nanowire growth occurs at nanoscale nucleation sites on these GaN platelets. The lower layer is made of pieces of amorphous appearing GaN. Lower layers are Ga rich, upper ones are stoichiometrically GaN. Gallium for later stages of growth is sourced from the decomposition of the GaN particles. Growth temperature has been shown to exert a strong influence on nucleation site formation. Scanning electron microscopy (SEM) with EDX analysis was used to characterize the matrix.
9:00 PM - LL3.17
Self-organized Epitaxial ZnO Nanowire Arrays Grown by RF-Magnetron Sputtering on MgO Substrates.
Sergio Pereira 1 , Armando Lourenco 1 , Michel Kazan 1 , Ruslan Zhachuk 1 , Teresa Monteiro 1 , Marco Peres 1 , Rosário Correia 1 , Andrei Kholkin 1
1 , University of Aveiro, Aveiro, 0, Portugal
Show Abstract9:00 PM - LL3.18
High Temperature Growth of Boron Rich Nanowires with Five Fold Symmetry.
Jun Yuan 1 2 , Xin Fu 2 3 , Jun Jiang 2 3
1 Department of Physics, University of York, York United Kingdom, 2 Beijing National Electron Microscopy Centre, Tsinghua University, Beijing China, 3 Department of Materials Science and Engineering, Tsinghua University, Beijing China
Show Abstract9:00 PM - LL3.19
Growth of GaN Nanorods on R-plane Sapphire by Catalyst-Free Hydride Vapor Phase Epitaxy.
Boa Shin 1 , Chinkyo Kim 1
1 Physics, Kyunghee University, Seoul Korea (the Republic of)
Show AbstractWurtzite III-nitrides have been widely studied due to their broad application to light-emitting devices and biosensors. Currently, most of light-emitting diodes or laser diodes are fabricated on c-plane ([0001]) GaN. This polar structure, however, suffered from low quantum efficiency, which is caused by strong internal electric field that is generated by spontaneous polarization and piezoelectric field.[1] It is known that this effect can be reduced by growing the III-nitride films along non-polar directions.[2]. On the other hand, GaN nanostructures with excellent optical properties have emerged as potential candidates for applications in next-generation nanodevices[3] and threading dislocations do not form during the growth of nanorods.[4] Thus, it can be inferred that the combination of nanostructures with non-polar characteristics can improve the nanoscale optoelectronic devices. In this study, GaN nanorods were grown on r-plane sapphire(10-12) by hydride vapor phase epitaxy (HVPE). Structural characteristics were investigated by x-ray scattering and scanning electron microscopy(SEM). It was found that the characteristics of GaN nanorods were affected by not only temperature of substrates but also temperature gradient between the substrate and ambient gas. Properties of GaN nanorods grown on r-plane sapphire will be discussed in detail.This work was supported in part by the Seoul Research and Business Development Program-Grant No.10583.[1] P. Ruterana, M. Albrecht, J. Neugebauer, Nitride Semiconductors, Handbook on Materials and Devices, Wiley-Vch Verlag GmbH & Co. KGaA,Weinheim, 2003. [2] P. Waltereit, O. Brandt, A. Trampert, H.T. Grahn, J. Menniger, M. Ramsteiner, M. Reiche, K.H. Ploog, Nature 406, 865 (2000). [3] N. Thillosen, K. Sebald, H. Hardtdegen, R. Meijers, R. Calarco, S. Montanari, N. Kaluza, J. Gutowski, H. Luth, Nano Lett. 6, 704 (2006). [4] H.-M. Kim, T. W. Kang, K. S. Chung, AdV. Mater. 15, 567 (2003).
9:00 PM - LL3.2
Growth Mechanism of GaAs Nanowires using Catalyst-free Selective-area Metal-organic Vapor-phase Epitaxy.
Kenji Hiruma 1 , Takuya Sato 1 , Hiroatsu Yoshida 1 , Shinjiroh Hara 1 , Junichi Motohisa 1 , Takashi Fukui 1
1 , Hokkaido University, Sapporo Japan
Show AbstractSemiconductor nanowires have become a focus of attention because of their interesting growth characteristics as well as their potential application to nanostructure devices. We have investigated selective-area metal-organic vapor-phase epitaxy (SA-MOVPE) to form GaAs, InAs, and InP nanowires that are as thin as 20-300 nm and about several micrometers long [1-3]. In our SA-MOVPE process, we do not use any growth catalyst commonly used for vapor-liquid-solid growth. Therefore, we can avoid incorporation of catalyst atoms into the grown crystal and secure the quality of nanowires. We will explain the growth characteristics of GaAs nanowires and crystal structure analyses observed using transmission electron microscopy, and then discuss a growth model related to twin structures. GaAs nanowires were selectively grown within a SiO2 mask window pattern fabricated on a GaAs (111)B substrate surface. The nanowire height decreased as the mask window diameter increased, or as the growth temperature increased from 700 to 800C. The dependence of the nanowire height on the mask window diameter was compared, which indicated that nonowire height is inversely proportional to the mask window diameter. This suggests that the migration of growth species on the nanowire side surface plays a major role. Tetrahedral GaAs grew during the early phase of nanowire growth but became hexagonal as growth continued. The calculated change in the Gibbs free energy of the nucleation growth of the crystals indicated that tetrahedrons are energetically more favorable than hexagons. Transmission and scanning electron microscopy analyses of a GaAs nanowire showed that many rotational twins developed and stacked along the <111>B direction, suggesting that the rotational twins are involved in the evolution of the nanowire shape from tetrahedron to hexagon. [1] K. Ikejiri, et al., Journal of Crystal Growth, vol. 298 (2007) 616.[2] K. Tomioka, et al., Journal of Crystal Growth, vol. 298 (2007) 644. [3] P. Mohan, et al., Applied Physics Letters, vol. 88 (2006) 133105.
9:00 PM - LL3.22
Bimodal Epitaxial Si Nanowire Diameter Distributions for Vapor-Liquid-Solid Growth from Au Seeds Deposited at Elevated Temperature.
Eric Dailey 1 , Prashanth Madras 1 , P. Crozier 1 , Jeff Drucker 1 2
1 School of Materials, Arizona State University, Tempe, Arizona, United States, 2 Department of Physics, Arizona State University, Tempe, Arizona, United States
Show AbstractThe diameter of Si nanowires (NW) grown via the vapor-liquid-solid mechanism is determined by that of the metal seed. However, we have observed bimodal diameter distributions for Si NWs grown on Si(111) from disilane. Au was evaporated under ultrahigh vacuum (P=2x10-10 torr) conditions onto Si(111) held at 450oC, producing islands with diameters in the 40-100 nm range for Au coverages in the 2-5 monolayer (ML) range. Larger diameter NWs correlated well in density and diameter to the Au islands, but for some growth conditions a background of smaller diameter NWs was also observed. The smaller NWs have a complex temperature and pressure dependence. For growth at T=400°C, the smaller NWs have approximately constant diameter near 15 nm and are observed for P > 0.7 mtorr. At this T, the smaller NW density increased to a well-defined maximum as temperature and growth time increased. In contrast, for growth at T=500°C, the smaller NWs do not form until P > 10 mtorr. Their diameter decreased and density increased as disilane pressure increased.To gain insight into this puzzling behavior, we performed NW growths from Au/Si(111) layers with thicknesses ≤ 1 ML, which is below the Au coverage required for island formation. At both T=400 and 500°C, we found that Si NWs formed from this planar layer in the absence of Au seed particles. The dependence of NW diameter and density on pressure and growth time was nearly identical to that identified above for growth of smaller diameter NWs. Subsequent to confirming that the smaller diameter NWs originated from the planar Au layer between the Au seeds, we investigated the mechanism by which the smaller NWs formed. Planar, 1 ML thick Au layers were annealed in H2 at pressures comparable to the H equivalent pressures during NW growths. Anneal times were identical to NW growth times and the samples were cooled to room temperature in the H2 ambient. The H2 caused the Au layer to dewet, forming nm-scale Au islands at densities and sizes that correlate well with those of the NWs that form from the planar Au films. H2 annealing results suggest that the Au diffusion is the rate limiting step for 400oC growth with NW growth initiating immediately after a Au seed forms resulting in NWs with a pressure independent diameter and a density that increases with growth time. We attribute the observed saturation in small NW density at T=400°C to complete consumption of the Au layer. In contrast, the diffusion rate at 500oC for Au on Si is much greater than at 400oC. Therefore, Au liberation from the planar layer results in increasing density of smaller diameter Au seeds as the H2 pressure increases.Interestingly, using Z-contrast scanning transmission electron microscopy, we find that Au decorated the NW side walls only for growth at 500°C from Au films with coverages >1ML. We are currently investigating strategies for optimizing the morphology of NWs grown from Au seeds formed by H2 induced dewetting of Au layers.
9:00 PM - LL3.23
The Effect of Dislocations in Nanowires.
Matthew Bierman 1 , Y. K. Albert Lau 1 , Song Jin 1
1 , University of Wisconsin-Madison, Madison, WI, Wisconsin, United States
Show AbstractWe have recently proven that coaxial dislocations can be present in nanowires. Under suitable conditions we have identified, chemical vapor deposition growth of dendritic PbS nanowires results in pine tree morphology nanostructures with a dislocation-driven nanowire trunk and vapor-liquid-solid grown epitaxial branches. These dislocations enable the anisotropic 1-dimensional growth of the trunk by providing the self-perpetuating growth facet of a screw dislocation that enhances the growth rate. The strain in the crystalline nanowire due to the dislocation results in an Eshelby twist of the lattice that leads to epitaxial branch nanowires rotating around the trunk. The dislocation and its strain will also have an impact on the resulting mechanical, thermal, and electronic properties of nanowires and will be discussed.
9:00 PM - LL3.24
VLS-HVPE : A Tool for the Synthesis of Lengthy Semiconductor Nanowires.
Mohammed Ramdani 1 , Evelyne Gil 1 , Yamina Andre 1 , Dominique Castelluci 1 , Agnes Trassoudaine 1 , Guillaume Monier 1 , Luc Bideux 1 , Christine Leroux 3 , Oscar Awitor 1 2 , Christine Robert-Goumet 1
1 , Laboratoire des Sciences et Materiaux pour l'Electronique et d'Automatique UMR CNRS 6602, Aubiere France, 3 , Institut Materiaux Microelectronique Nanosciences de Provence, La Garde France, 2 , Institut Universitaire de Technologie, Aubiere France
Show AbstractSemiconductor nanowires (NWs) of III-V compounds have received much interest in recent years due to their potential use as nano-building blocks for electronic, optoelectronic and biological applications. The most successful approach to the bottom-up approach is the catalyst-assisted vapour-liquid-solid (VLS) mechanism. In VLS growth, a suitable metal catalyst alloys with the group III metal atoms introducing a local liquid solid interface with a growth rate similar to that of liquid-phase epitaxy (LPE). Many successful NWs growth studies were performed by metal-organic vapour phase epitaxy (MOVPE) or molecular beam epitaxy (MBE).In this work, we present the first study of GaAs NWs grown by hydride vapour phase epitaxy (HVPE). The use of chloride molecules as element III growth precursors gives to HVPE its main feature: the dechlorination frequency is high enough so that there is no kinetic limitation and conditions for equilibrium are quickly reached. A wide range of growth rates (from 3 to 100 µm/h) can then be set depending on the state of advancement of the growth reaction with respect to equilibrium. The main advantage of the bottom-up near-equilibrium HVPE process, compared to the other techniques for micro and nano-structuration, is the possibility of processing large-area surfaces (1–3 in wafers) in a few minutes exhibiting defect-free crystal-defined grown motifs with unusually high aspect ratios, the growth morphologies being mainly governed by surface kinetics.GaAs NWs were grown on GaAs (100) and (111)B substrates in a hot wall horizontal HVPE reactor kept at atmospheric pressure (AP-HVPE) using Au particles as catalytic agents. The Au surface structuration and growth parameters (temperature, partial pressures, growth duration) were investigated to establish the mechanisms that govern the wire growth in the HVPE process. We synthesized NWs with lengths beyond 90 µm for diameters below 200 nm at a mean rate of 200 µm/h, and microwires (MWs) with lengths beyond 400 µm. This is the first demonstration of the synthesis of such lengthy nanowires. These results emphasize that the growth mechanism of GaAs NWs and MWs by HVPE is mainly based on solidification after direct impingement of the growth species from the vapour phase through the liquid alloy catalyst. Thermodynamics and kinetics (adsorption, desorption and diffusion flux, dechlorination frequency) of the peculiar VLS-HVPE process will be discussed.
9:00 PM - LL3.25
Crystallization of Nano-crystalline Silicon Wires Through Self-heating.
Gokhan Bakan 1 , Cicek Boztug 1 , Adam Cywar 1 , Mustafa Akbulut 1 , Nathan Henry 1 , Helena Silva 1 , Ali Gokirmak 1
1 Electrical and Computer Engineering, University of Connecticut, Storrs, Connecticut, United States
Show Abstract9:00 PM - LL3.3
Controllable Synthesis and Morphology Evolution of SnO2 Zigzag Nanobelts.
Ke Yu 1 , Jin Wu 1 , Lijun Li 1 , Huibing Mao 1 , Ziqiang Zhu 1
1 Department of Electronic Engineering, East China Normal University, Shanghai China
Show Abstract9:00 PM - LL3.4
InP and InAs Nanowires Grown by Chemical Beam Epitaxy: Catalyzer Dynamics and Morphology Instabilities.
Thalita Chiaramonte 1 , Luiz Tizei 1 2 , Joao Zelcovit 1 , Daniel Ugarte 1 2 , Monica Cotta 1
1 IFGW, UNICAMP, Campinas, SP, Brazil, 2 , LNLS, Campinas, SP, Brazil
Show AbstractSemiconductor nanowires (NWs) have been intensively studied as components for electronic devices. With regard to materials synthesis, the vapor-liquid-solid mechanism has been successfully used to synthesize III-V NWs. In this work, we investigated the morphology and growth evolution of InP and InAs NWs grown from catalytic Au nanoparticles (NP) by Chemical Beam Epitaxy. For the growth conditions studied here, growth temperature has shown to be the more restrictive parameter; homogeneous InP and InAs NWs were obtained at distinct temperatures. The NWs presented either zincblend or wurtzite structures, depending on growth conditions. The growth rate dependence suggests the existence of different ‘incubation times’ for NP supersaturation prior to InP and InAs NW growth; NW’s were grown for short times to investigate this process. By varying growth conditions we could also observe instabilities at the lateral NW morphology, while keeping the crystalline structure. For InP/InAs/InP heterostructured NWs, InP/InAs interfaces were well defined. However, InAs-rich regions were formed close to the NW/NP interface during sample cool down even when previous InP growth took place for very long times. These results point to the importance of fast dynamics within the NP during growth, ruled by different regimes of supersaturation arising from the different solubilities of group III and V atoms in Au.
9:00 PM - LL3.5
Controlled Ge2Sb2Te5 Crystal Nanostructures Fabricated by Electrical Pulse Induced Evaporation Method.
Hyunjung Kim 1 , Hyungbin Bae 2 , Sikyung Choi 1
1 Department of Materials Science and Engineering, Korea Advanced Institute of Science and Technology, Daejeon Korea (the Republic of), 2 Transmission Electron Microscopy Lab., Korea Advanced Institute of Science and Technology, Daejeon Korea (the Republic of)
Show Abstract9:00 PM - LL3.6
Influence of CVD Growth Mode on Silicon Nanowire Morphology.
Fabrice Oehler 1 , Pascal Gentile 1 , Thierry Baron 2 , Nicolas Pauc 1 , Salem Bassem 2 , Pierre Ferret 3
1 INAC / SPMM / SiNaPS, cea, Grenoble France, 2 LTM, CNRS, Grenoble France, 3 LETI / DOPT, cea, Grenoble France
Show AbstractDue to new electrical and optical properties, semiconductor nanowires are now of great interest for nano-electronic, biosensors and solar cells devices. Special care is given to small silicon nanowires (SiNWs) as they may be building blocks for next step silicon technology. Dimension control is a primary objective as a key point to successfully integrate nanowires in electronic and optoelectronic devices. Material quality is also a biggest issue with variety of concerns: tapering, faceting and catalyst diffusion on sidewalls. In this context, SiNWs were grown using a Low Pressure Chemical Vapor Deposition (LPCVD) reactor and the usual Vapor-Liquid-Solid growth mechanism. In this method, SiNWs grow from a supersaturated liquid metal catalyst situated at the top of each nanowire. Gold was used as catalyst and Silane (SiH4) as the silicon source, diluted in a hydrogen carrier gas. We have studied and controlled the gold diffusion on NWs sidewalls in function of their diameters and surface properties. Several growth modes were identified: i) Growth of small nanowires down to 2 nm diameter, free from gold diffusion; ii) Growth of large nanowires down to 70 nm diameter with gold on the sidewalls. Starting from regime ii), silicon nanotrees synthesis is achieved by tuning the growth conditions and using gold clusters on the NWs sidewalls. Growth of such structures is an additional evidence of gold clusters on the nanowires sidewalls. Moreover gold diffusion is a common issue as it usually degrades Si semiconductor electronic properties. A third growth mode is thus needed to complete the range of available SiNWs : iii) Large nanowires, without gold diffusion. This last mode is obtained using HCl combined with SiH4. The different growth modes are discussed and we show that the presence of HCl in the gas mixture increases growth stability and allows the formation of small diameter SiNWs.
9:00 PM - LL3.7
Aligned Gallium Nitride Nanowire Growth by Chemical Beam Epitaxy Method.
Ryan Munden 1 , Aleksandar Vacic 2 , Christine Broadbridge 3 , Mark Reed 2 1
1 Applied Physics, Yale University, New Haven, Connecticut, United States, 2 Electrical Engineering, Yale University, New Haven, Connecticut, United States, 3 Physics, Southern Connecticut State University, New Haven, Connecticut, United States
Show AbstractGallium Nitride (GaN) Nanowires (NWs) have successfully been grown via a chemical beam epitaxy method. Source gases of Trimethylgallium (TMGa) and Ammonia (NH3) are used with a growth substrate temperature of (~800 °C) in high vacuum (~1x10-8 torr, base; ~1x10-5 torr, growth). Nanowires with and without Au catalyst have been shown to grow by this method on silicon, alumina, sapphire, and GaN-film substrates. Nanowires grown on GaN films grow crystallographically aligned to the growth substrate, with the majority growing perpendicular to the c-plane GaN film surface. At the edges of the film, wires aligned to the GaN m-planes can also be observed. Nanowire morphology has been studied by FE-SEM. Average NW lengths are ~2.5 micron with average diameters of ~25 nm. Initial inspection shows that nanowires are uniform, straight, and aligned with the substrate over large areas. However closer inspection of the nanowires by TEM shows that the NWs are actually polycrystalline in nature. They are composed of distinct segments “stacked” into the form of the nanowire. This leads to noticeable diameter variations on the nanoscale. Diameter modulation can be enhanced or removed through choice of growth substrate, temperature, and pulsing of the TMGa source. This work received partial support from CIFAR and partial support from NSF Grant MRSEC DMR05-20495.
9:00 PM - LL3.8
Impact of Synthetic Conditions on the Formation of PbSe Nanowires.
Edward Foos 1 , Thomas Zega 1 , Rhonda Stroud 1 , Joseph Tischler 1 , Alexander Efros 1 , Steven Erwin 1
1 , Naval Research Laboratory, Washington, District of Columbia, United States
Show AbstractSolution synthesis of PbSe nanocrystals from lead oleate and trioctylphosphine selenide produces materials with sizes ranging from 4 – 10 nm and narrow size distributions, with the exact diameter of the product controlled through variation of temperature and reaction time. High aspect ratio nanowires (> 100 length:width ratio) of PbSe can also be obtained from this synthesis method through variation of the reaction temperature and growth surfactants in the system. This transition from nanocrystals to nanowires has been proposed to occur through an oriented attachment mechanism wherein the individual crystallites coalesce in one-dimension. It is observed that the width, aspect ratio, and morphology of these nanowires are sensitive to the synthesis conditions used. In an effort to better understand the impact of the temperature, solvent, and surfactant identity on the products obtained from this system, a series of synthesis experiments has been conducted wherein each component is varied systematically in order to better understand its relative role in the reaction. The products, ranging from high aspect ratio smooth wires to short multifaceted polyhedra have been analyzed by SEM, TEM, and optical spectroscopy, and the results of these reactions will be presented.
9:00 PM - LL3.9
Balancing Feeding and Crystallization for Optimized VLS growth through Fast Feeding.
Guangbi Yuan 1 , Weidong He 1 , Xiaohua Liu 1 , Dunwei Wang 1
1 Chemistry, Boston College, Chestnut Hills, Massachusetts, United States
Show AbstractVapor-Liquid-Solid (VLS) mechanism has been found applicable in a large number of nanowire (NW) syntheses. Extensive studies have been carried out to elucidate the details of the growth process, aiming at achieving ultimate NW synthesis controls, among which chemical vapor deposition is probably the dominant and one of the most successful approaches. Attentions have been paid to carefully tune various parameters, including temperature, pressure, flow rate, and growth seeds to produce high yield pristine NWs. It is understood that the key factors of the growth, namely feeding of reactive species, diffusion of the species in the growth seed, and crystallization, must be balanced for continuous one-dimensional material additions to yield crystalline NW. Many of the results, however, are only applicable in stead-state systems. The initial stage of the growth process often involves changes of various parameters, most notably pressure and flow rates of precursor gases that will likely lead to growth imperfections. Using GeNWs as a model system, we show in this presentation our studies toward this end. Our results indicate that how the precursors are fed into the growth system drastically alters the growth results, not only the yield, but also NW qualities. For a given set of parameters, fast feeding is desired for optimized growth, and we derived a quantitative correlation of the rate of feeding and the NW growth. Our results provide new insights in the VLS process and further point out the importance of maintaining a balanced feeding-crystallization system for the VLS process. It shall find applications in other NW growths that follow the VLS mechanism as well.
LL4: Poster Session: Characterization: Structure-Property Relationships
Session Chairs
Tuesday AM, December 02, 2008
Exhibition Hall D (Hynes)
9:00 PM - LL4.1
Characterization of Self-Assembled Rare Earth Disilicide Nanowires via Scanning Probe Microscopy and Ab initio Studies.
Aniketa Shinde 2 1 , Juexian Cao 2 , Sangyeob Lee 1 , Ruqian Wu 2 , Regina Ragan 1
2 Physics and Astronomy, UC Irvine, Irvine, California, United States, 1 Chemical Eng and Mat'l Science, UC Irvine, Irvine, California, United States
Show AbstractMetallic nanostructures have shown enhanced catalytic activity compared to their bulk counterparts potentially due to changes in electronic properties at the nanoscale. Although there are numerous examples of this phenomenon, further basic understanding of charge transfer during catalytic reactions is still needed. Challenges in nanoscale catalysis studies include the fabrication of monodisperse nanostructures as well as a fundamental knowledge of the electronic properties at the nanometer length scale. Our group addresses these issues by fabricating dense ordered arrays of bimetallic core-shell nanostructures and characterizing structures with scanning probe microscopy and ab initio studies. Self assembled rare earth disilicide nanowires are used as templates for Pt and Au nanostructures on Si(001) [1]. We performed systematic experimental and computational studies to investigate the adsorption geometries of rare earth atoms on the Si(001) surface. These results pave a way for understanding and eventually controlling the growth of rare earth disilicide nanowires on the Si(001) substrate. For a single Y adatom, which is used as a substitute for rare earth atoms, the interrow(dn) site was found to be at least 400 meV lower in energy than other possible binding sites. The emulated STM images are in good agreement with experimental results of Er on Si(001) [2]. In addition, simulated charge density difference images predict charge transfer from Y adatom to the silicon substrate, in agreement with KPFM contact potential difference data which shows a .85 eV lower work function for Dy induced reconstruction on silicon compared to clean (2x1) reconstructed Si. These results elucidate the Y-Si binding mechanism and provide insights toward the onset of hexagonal rare earth disilicide nanowire formation. Results from simulations of the stable atomic structure of disilicide wires will also be discussed. Using the hexagonal AlB2 structure of RESi2 nanowires, 1 and 2 layer silicon terminated wires were simulated and formation energies for various widths were compared. Single layer wires having widths between 1 to 10 nm are predicted to fall within a stability region of formation energy, corresponding to statistical experimental data confirming that most single layer DySi2-x wires have widths between 4 and 7 nm [3]. We have also explored calculations for the adsorption of Pd, Ag, Pt, and Au atoms on YSi2 nanowires. Significant charge transfer from Y to Pt drastically lowers the Pt-d band and hence new chemical and optical behaviors are expected from the Pt atom [4].[1] You, JP et. al. Nanoletters, 2006, Vol 9,6, 1858; [2] Shinde, A. et. al., Israeli Journal of Chemistry, 2008, in review.; [3] Chen, Y. et. al., J. Appl. Phys., 2002, Vol 91, no. 5. ;[4] Jo, C. et. al., Chem Phys Lett, 2008 454: p. 327-331.
9:00 PM - LL4.10
Electrical transport properties of Ni- and Pt-catalyzed GaN Nanowires.
Hwangyou Oh 1 3 , Tae-Eon Park 1 3 , So-Jeong Sim 1 , Ju-jin Kim 2 , June-Yeon Jang 3 , Seok Hee Han 3 , Heon-Jin Choi 1
1 Department of Materials Science and Engineering, Yonsei University , Seoul Korea (the Republic of), 3 Center for Spintronics Research, Korea Institute of Science and Technology, Seoul Korea (the Republic of), 2 Department of Physics, Chonbuk National University, Jeonju Korea (the Republic of)
Show AbstractOne-dimensional wide and direct-bandgap semiconductors have recently attracted much attention due to their potential applications for electronic and optoelectronic devices in the nanoscale and have been synthesized via various growth methods. Among these synthesis methods, metal-catalyzed vapor-liquid-solid (VLS) growth method has been widely used because of easy accessibility to semiconductor nanostructures and their size-controllable growth. However, VLS growth method might introduce unintentionally doped impurities. Specifically, metal catalysts such as nickel (Ni), gold (Au) and iron (Fe) might act as impurities which form deep levels in the band gap. These impurities are known to deteriorate the performance of the devices. Meanwhile, platinum (Pt) has been reported to be free from deep levels and, thus, may be considered as an alternative to prevent the formation of deep levels from the above catalysts. In this study, we have fabricated two kinds of field effect transistor (FET) with Ni- and Pt-catalyzed GaN nanowires and have investigated the effects of catalysts on the electrical transport properties. We have synthesized Ni- and Pt-catalyzed GaN nanowires and have fabricated two sorts of FET, using these GaN nanowires. Titanium/gold (Ti/Au) has been employed as source and drain electrodes and heavily doped silicon substrate (p-Si) as back gate. GaN nanowires have been etched with buffered oxide etchant (BOE) or diluted hydrogen fluoride (HF) to obtain low Schottky contact between metal and nanowire. For comparative analysis on the effects of two types of catalyst, we have measured temperature-dependent I-V and I-Vg characteristics from 4 K to ~ 300 K and have drawn mobility and carrier concentration as a function of temperature for two kinds of FET. We have then studied transport mechanism from the correlation between resistivity and temperature. Furthermore, we have explored surface states of GaN nanowire which could originate from catalysts, by varying metal electrodes from Ti to Ni and Pt and applying non-ideal Schottky model.
9:00 PM - LL4.11
Anodization of NbN Lines.
Travis Wade 1 , Damien Lucot 1 , Jean-Eric Wegrowe 1
1 Laboratoire des Solides Irradies, ECOLE Polytechnique, Palaiseau Cedex France
Show Abstract9:00 PM - LL4.12
Contact Potential in a Single SnO2 Nanowire Device.
Junmin Lee 1 , Seongmin Yee 1 , Junghwan Huh 1 , Gyu Tae Kim 1 , Gunchul Shin 2 , Jeong Sook Ha 2
1 School of Electrical Engineering, Korea University, Seoul Korea (the Republic of), 2 Department of Chemical & Biological Engineering, Korea University, Seoul Korea (the Republic of)
Show AbstractAlthough many structures based on SnO2 nanowires have been demonstrated, there is a limitation towards practical application due to the unwanted contact potential between the metal electrode and the SnO2 nanowire. This is mostly because of the native oxide layer that acts as an insulator between the metal contact and the nanowire. In this study the contact potential between Ti/Au contacts and a single SnO2 nanowire is evaluated and compared to the potential energy of a contact without the oxide layer. RIE(Reactive Ion Etching) is used to selectively remove the oxide layer from the contact areas. Unlikely to the four-probe measurement the temperature dependence of conductivity in a two-probe measurement shows that the transport mechanism is altered when considering a contact barrier. This alteration is specified by a Fowler-Nordheim plot which displays the two different mechanisms: thermionic emission and Fowler-Nordheim (FN) tunneling. The applied voltage is calculated by subtracting the IV plot of a four-probe measurement from that of a two-probe measurement, and the activation energy of the two-probe and four-probe resistance will be obtained from the Arrhenious plot. Based on these values, the contact barrier is calculated by the FN equation and the results are compared to clarify the influence of the oxide layer on the contact barrier. The SnO2 nanowires were synthesized by chemical vapor deposition (CVD) and dispersed on a Si/Si3N4 substrate. The Ti/Au electrodes are formed by e-beam lithography, e-beam evaporation followed by a lift-off process.
9:00 PM - LL4.13
Device Characteristics of SiGe Nanowire Field-Effect Transistor.
Myungji Kim 1 , Hyunjin Ji 1 , Hankyu Seong 2 , Heonjin Choi 2 , Gyutae Kim 1
1 School of Electrical Engineering, Korea University, Seoul Korea (the Republic of), 2 Department of Ceramic Engineering, Yonsei University, Seoul Korea (the Republic of)
Show AbstractSiGe nanowire field-effect transistors(FETs) are attractive owing to their high hole or electron mobility and good device performance as FETs. In this presentation, tunable electrical characteristics of SiGe nanowire FETs will be discussed from the point of the control of the carrier type. SiGe nanowires were synthesized using the chemical vapor deposition(CVD) process. Single nanowires were dispersed on to a Si3N4 or SiO2 substrate followed by e-beam lithography and e-beam evaporation, forming the selective electrode patterns. Reactive Ion Etching(RIE) or HF wet etching was used to remove the native oxide surrounding the SiGe nanowire for achieving the intimate electrical contacts. The FETs made of as-grown SiGe nanowires have shown p-type nature of charge carrier, which was confirmed by the increase of conductance with applying negative gate voltage. Considering SiGe nanowires are known to change their majority carriers depending on the existence of the surrounding oxide layer. A pn junction-like current-voltage characteristics were successfully demonstrated by partly etching the surface of a single nanowire. The possibility of an intra-junction made of a single SiGe nanowire enlighten the application of the high-speed nano-scale switching devices.
9:00 PM - LL4.14
Electron Beam Induced Conductance Modulation in ZnO Nanorods.
Young-Seung Cho 2 1 , Soo Han Choi 1 , Hyun Jin Ji 1 , Jae Wan Choi 1 , Gyu Tae Kim 1
2 DRAM Process Architecture Team, Samsung Electronics Co. Ltd. , Hwasung-City, Gyeonggi-Do, Korea (the Republic of), 1 School of Electrical Engineering, Korea University, Seoul Korea (the Republic of)
Show Abstract9:00 PM - LL4.15
Electrical Conductivity of Molecular Nanowire Composed of TTF Derivative Characterized by PCI-AFM.
Yoko Tatewaki 1 , Tatsuya Hatanaka 2 , Mutsumi Kimura 2 , Hirohusa Shirai 1
1 nanoFIC, Shinshu univ., Ueda, Nagano, Japan, 2 Department of Functional Polymer Science, Shinshu univ., Ueda, Nagano, Japan
Show AbstractA large number of organic metals and superconductors have been reported in the forms of cation radical salts and charge transfer (CT) complex using Tetrathiafulvalene(TTF) based electron donor molecules. The TTF system are easily oxidized by chemical or electrochemical oxidation procedures, and the regular stack of partially oxidized TTF molecules provides partially filled one-dimensional structures resulting in metallic electrical conduction properties. In this paper, we report the electronic properties of molecular nanowires composed of TTF derivatives and organic acceptors, with tetrafluorotetracyanoquinodimethane (F4-TCNQ). We synthesized TTF derivatives having chiral and hydrogen-bonding side chains. The synthesized molecules organized into helical and nanoscopic one-dimensional assemblies by using various intermolecular interactions. The nanowires had typical dimensions of 30 nm (height) x 25 nm (width) x >1000nm (length). The nanowires maintained its orientation, regardless of temperature change. The nanowire of (TTF derivative) (F4TCNQ) showed the semiconducting temperature dependence with activation energy of 0.18 eV. The electrical conductivities measured by using PCI-AFM of (TTF derivative) (F4TCNQ) will be discussed.
9:00 PM - LL4.16
Fabrication and Characterization of Ge Nanowires and Back-gate Nanowire Field Effect Transistors using Ge Nanowires.
Joon-Shik Park 1 2 , Duck Jin Kim 1 3 , Nae-Eung Lee 3 , Woo Kyeong Seong 1 , Bruce Clemens 2
1 , Korea Electronics Technology Institute, Seongnam, Gyeonggi, Korea (the Republic of), 2 , Stanford University, Stanford, California, United States, 3 , Sungkyunkwan University, Suwon, Gyeonggi, Korea (the Republic of)
Show Abstract9:00 PM - LL4.17
Thermoelectric Power of Individual Single-crystalline Bi Nanowires.
Seunghyun Lee 1 , Dohun Kim 2 , Jinhee Ham 2 , Ju Hoon Kang 2 , Jong Wook Roh 2 , Kye Jin Jeon 2 , Wooyoung Lee 1 2
1 Nanomedical National Core Research Center, Yonsei University, Seoul Korea (the Republic of), 2 Department of Materials Science and Engineering, Yonsei University, Seoul Korea (the Republic of)
Show Abstract9:00 PM - LL4.18
Heat Conduction in Naked, H-terminated, and Native-oxide-Coated Si Nanowires.
Takumi Saegusa 1 , Hiroaki Ohta 1 , Koji Eriguchi 1 , Kouichi Ono 1
1 Department of Aeronautics and Astronautics, Graduate School of Engineering, Kyoto University, Kyoto Japan
Show AbstractThermoelectric properties of nanowire (NW) have been extensively studied to realize high performance thermoelectric devices [1]. In particular, a better understanding and theoretical prediction of the low thermal conductivities in NWs is one of the most important issues in this research field. As shown by N. Mingo et al., a material with high bulk thermal conductivity is no longer the best NW thermal conductor [2]. The main reason is the prevention of the phonon propagation by surface scattering due to thin NW structures, where surface atoms may have great influence on the material properties. Experimental observation of individual thermal conductivities by P. Yang’s group [1] as well as theoretical studies by different approaches such as Boltzmann transport equation or Monte Carlo (MC) method for phonon propagation [3], molecular dynamics (MD) simulations [4] have been published. Here we focus on how thermal conductivities depend on surface atomic structures. On a related issue, two MD studies and an experimental observation have been reported. Papanikolaou showed C- and Si- terminated SiC-NW has different thermal conductivity [5]. Wang et al. reported that GaN NW with different orientations has different thermal conductivities [6]. These studies indicate thermal conductivities are very sensitive to surface structures. Experimentally, Hochbaum et al. observed reduction of thermal conductivities in Si NWs fabricated by electroless etching, where silicon NWs were coated by heaving native oxide [1]. In this paper, we evaluate thermal conductivities of H-terminated Si-NWs and oxide-coated Si-NWs by direct non-equilibrium MD simulation with Stillinger-Weber interatomic potential models for Si/O and Si/H systems. The thermal conductivities for various cross sections (2-8 nm^2) and lattice orientations (<100> and <111>) were obtained. Thermal conductivities for naked <111>Si-NWs with cross sections of 2-8 nm^2 at 300K were 2-6 W/mK, which were of the same order as those for <100>Si-NWs (1-2 W/mK) obtained from equilibrium MD simulation by Volz et al [4]. Our data was also in good agreement with those obtained by MC simulation. Detailed simulation results for above mentioned various surface structures will be shown in this conference.[1] A. I. Hochbaum et al., Nature 451, 163 (2008).[2] N. Mingo et al., Phys. Rev. Lett. 93, 246106,(2004).[3] Y. Chen et al., Journal of Heat Transfer, Transaction of the ASME, 127, 1129,(2005).[4] S. G. Volz et al., Appl. Phys. Lett. 75, 2056,(1999).[5] N. Papanikolaou, J. Phys.: Condens. Matter 20, 135201,(2008).[6] Z. Wang et al., Appl. Phys. Lett. 90, 161923,(2007).
9:00 PM - LL4.19
Structure for Measurement of Phonon Scattering from Si Nanowire Surfaces.
John Sullivan 1 , Thomas Friedmann 1 , Edward Piekos 1 , Subhash Shinde 1 , Joel Wendt 1
1 , Sandia National Labs, Albuquerque, New Mexico, United States
Show AbstractWe have created a micromachined structure for measuring thermal phonon surface scattering in single-crystal silicon nanowires. The structure is formed from a silicon-on-insulator wafer and consists of three suspended silicon platforms that are supported by long silicon ligaments. The center platform is connected to its neighbors on each side by thin silicon nanowires that are formed by a nanolithography etching step. The wires are single crystal with a blade-like cross-section, each having a width of 100 nm, a length of 1000 nm and a thickness of 1000 nm. The key aspect of the structure is that one nanowire is straight while the other nanowire is bent. Phonons with a mean free path exceeding 1000 nm may travel without boundary scattering in the straight nanowire, while these phonons would scatter from the surfaces of the bent nanowire. We measure the differential heat flux in the two nanowires by heating the center silicon platform (using Joule heating from a patterned metal resistor on top of the silicon) and measuring the subsequent temperature rise in each of the two neighboring silicon platforms connected by either a straight or a bent nanowire. By varying the ambient temperature from ~ 77 K to room temperature, we change the phonon mean free path from > 1000 nm to less than 400 nm, enabling tuning of the effect of phonon boundary-scattering. By measuring the difference in heat flux between a straight and bent ligament of otherwise identical description, effects common to both ligaments are cancelled and phonon-boundary interactions are highlighted. We have performed Monte Carlo simulations of phonon transport to determine the viability of this structure. The simulations indicate that the difference in heat flux between the straight and bent nanowires is readily measurable and that the difference in heat flux is sensitive to surface accommodation (i.e. the relative proportion of specular reflection to diffuse reflection). The fluxes, for the same temperature change, differ by approximately 10% for diffuse (rough surface) reflection, while they differ by almost 40% for specular (smooth surface) reflection. We report our preliminary measurements on the use of this structure for the measurement of phonon scattering off of silicon nanowire surfaces. This work was supported by the DOE Office of Basic Energy Sciences, Division of Materials Science and Engineering and by a Laboratory Directed Research and Development project at Sandia National Laboratories. Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the US Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.
9:00 PM - LL4.2
Three-Dimensional Structure of Nanowires Using Electron Tomography.
Han Sung Kim 1 , Yoon Myung 1 , Chang Hyun Kim 1 , Jeunghee Park 1 , Seung Yong Bae 2 , Jae Pyung Ahn 3
1 Materials Chemistry, Korea University, Jochiwon Korea (the Republic of), 2 Chemical R&D Center, Samsung Cheil Industry Inc, Uiwang Korea (the Republic of), 3 Nano-Material Research Center, Korea Institute of Science and Technology, Seoul Korea (the Republic of)
Show AbstractElectron tomography, which is a method to reconstruct 3-dimensional (3D) morphologies from a series of 2D images or projections, has been successfully applied to analyze the morphology of nanoparticles as well as their location in a mesoporous matrix (or carbon nanotubes or nanocomposites). However, the use of electron tomography to study the 3D geometry of nanowires has not been much reported, despite the ever-increasing research effort devoted to this field. Herein, we report the 3D structures of GaN, TiO2, CdO, ZnGa2O4, Zn2SnO4, and MnGa2O4 nanowires (NWs), obtained by electron tomography and high-resolution transmission electron spectroscopy (TEM). The present work demonstrates their 3D reconstruction images, acquired from a series of 2D projections obtained by high-angle annular dark field (HAADF) scanning TEM (STEM). The results of this study should open up a new field allowing for the investigation of nanostructures with high spatial resolution that could have an impact on our understanding of the growth mechanism and application of nanodevices in many fields, in which the crystal structure plays an important role in the final properties.
9:00 PM - LL4.20
Electrical and Thermal Conductivity in Nanowires: A Kubo-Greenwood Approach.
Chumin Wang 1 , Fernando Salazar 1 2 , Vicenta Sanchez 3
1 Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexico, Mexico D.F. Mexico, 2 Instituto de Fisica, Universidad Nacional Autonoma de Mexico, Mexico D.F. Mexico, 3 Departamento de Fisica, Facultad de Ciencias, Universidad Nacional Autonoma de Mexico, Mexico D.F. Mexico
Show AbstractBased on the Kubo-Greenwood formula, the transport of electrons and phonons in nanowires is studied by means of a real-space renormalization plus convolution method [1]. This method has the advantage of being computationally efficient, without introducing additional approximations and capable to analyze nanowires of multi-scale length even with defects. In this work, the Born and tight-binding semi-empirical models [2] are used to calculate the lattice thermal and electrical conductivity, respectively. The results show a quantized electrical dc conductance, which is attenuated when an oscillating electric field is applied. Effects of single and extended planar defects, such as a quasiperiodic modulation, on the conductance of nanowires are also investigated. For low temperature region, the lattice thermal conductance reveals a power-law temperature dependence, in agreement with experimental data [3].[1] V. Sanchez and C. Wang, Phys. Rev. B 70, 144207 (2004).[2] P. Alfaro, M. Cruz and C. Wang, Nanoscale Res. Lett. 3, 55 (2008).[3] D. Li, Y. Wu, L. Shin, P. Yang and A. Majumdar, Appl. Phys. Lett. 83, 2934 (2003).
9:00 PM - LL4.21
Synthesis and Characterization of One-dimensional Strontium Titanates for Thermoelectric Applications.
Jongbok Park 1 , Choongho Yu 1
1 Mechanical Engineering, Texas AM Univ, College station, Texas, United States
Show Abstract9:00 PM - LL4.22
Light-Emitting Metal-Polythiophene Axial Nanowire Heterostructures.
Deirdre O'Carroll 1 , Carrie Hofmann 1 , Keisuke Nakayama 1 , Harry Atwater 1
1 Thomas J. Watson Sr. Laboratory of Applied Physics, California Institute of Technology, Pasadena, California, United States
Show Abstract Metallic nanostructures that support surface plasmon resonances can enhance radiative emission from dipole emitters such as semiconductor quantum dots or organic dye molecules.[1,2] Here we describe the design and fabrication of metal nanowire antennas coupled to polythiophene-based nanowire emitters as a means to enhance emission and integrate electrical contacts into nanoscale organic emissive devices. To this end, sub-wavelength-sized gold nanowires that support surface plasmons are attached to the ends of individual polythiophene nanowires. Synthesis of such metal-polymer axial nanowire heterostructures is achieved by sequential electrochemical deposition of the gold nanowires and electropolymerization of the polymer light emitting material within the cylindrical pores of a nanoporous anodic alumina template (with average pore diameter of either 250 nm or 60 nm), followed by subsequent template removal and nanowire dispersion. Polythiophene nanowire emitter segments typically range from 10 nm to ~400 nm in length and gold nanowire segments range from 100 nm to 1.5 μm in length, with lengths depending on electropolymerization and electrochemical deposition time, respectively. Simple analytical expressions based on the quasistatic approximation, as well as full-field electromagnetic simulations are employed to estimate the optimal nanowire hetrostructure dimensions required for observation of surface plasmon-mediated emission enhancement from the polymer nanowire emitter. Rational techniques for optically exciting and detecting surface plasmon-meditated photoluminescence in the metal-polymer nanowire heterostructures will be presented. Dark-field spectroscopy is employed to detect shifts in plasmon frequency as a function of varying diameter and aspect ratio of the nanowire heterostructures. In addition, both excitation-wavelength-dependent and polarization-dependent dark-field and micro-photoluminescence imaging of individual heterostructures are used to investigate emission intensity and dichroic ratio changes from individual polythiophene nanowire segments in the absence and presence of the gold nanowire segments. Using this approach, increases in emission intensity from resonantly excited gold-polythiophene-gold nanowires, with polythiophene nanowire segments less than 100 nm in length, are found to directly correlate with increases in local field intensity between the metal segments. This work gives useful insight into how the optical properties of nanoscale organic light-emitting devices could be tuned and optimized by means of physically-integrated, optically-coupled, metallic nanostructures.[1] J. S. Biteen, L. A. Sweatlock, H. Mertens, N. S. Lewis, A. Polman and H. A. Atwater, J. Phys. Chem. C 2, 13372 (2007)[2] O. L. Muskens, V. Giannini, J. A. Sánchez-Gil, J. Gómez Rivas, Nano Lett. 7, 2871 (2007)
9:00 PM - LL4.24
Structural and Electronic Properties of Rare-Earth Nanowires.
Andrew Pratt 1 , Charles Woffinden 1 , Christopher Bonet 1 , Steve Tear 1
1 Department of Physics, University of York, York, North Yorkshire, United Kingdom
Show AbstractRare-earth metals deposited on Si(001) show promise as materials systems in which nanowires (NWs) naturally self-assemble [1]. This occurs due to an anisotropy in the lattice mismatch between the RE silicide formed after deposition at elevated temperatures and the underlying Si(001) substrate [2], and generally leads to NWs with widths and heights of several nms and lengths of up to 1 μm. Due to their potential application in nanoarchitectronics, these structures have been extensively studied with NWs of the RE metals Sm, Gd, Dy, Ho, and Er demonstrated, along with those of the chemically similar elements Sc and Y.We have combined a variety of techniques to study the growth of RE NWs on Si(001), including low-energy electron diffraction (LEED), scanning tunnelling microscopy (STM), and metastable de-excitation spectroscopy (MDS). MDS is of particular interest due to its extreme surface sensitivity with metastable atoms de-exciting several angstroms from the sample to yield an electron emission spectrum that reflects the surface density of states [3]. It is a relatively uncommon technique but is highly suited to the study of surface electronic properties.We present STM and MDS results from these surfaces showing how the complementary nature of the two techniques reveals information regarding the structure of the NWs and of the surface reconstruction between these features.[1] D. Bowler, J. Phys.: Condens. Matter 16 (2004) R721.[2] C. Ohbuchi, J. Nogami, Phys. Rev. B 66 (2002) 165323.[3] Y. Harada, S. Masuda, H. Ozaki, Chem. Phys. 97 (1997) 1897.
9:00 PM - LL4.26
Aluminum Induced Changes on Gold and Copper Calalyzed Cvd Growth of Silicon Nanowires: Morphological and Electrical Properties.
Fabrice Oehler 1 , Pascal Gentile 1 , Thierry Baron 3 , Bassem Salem 3 , Nicolas Pauc 1 , Martien Den Hertog 2 , Jean-Luc Rouviere 2 , Pierre Ferret 4
1 INAC / SPMM / SiNaPS, cea, Grenoble France, 3 LTM, CNRS, Grenoble France, 2 INAC / SPMM / LEMMA, cea, Grenoble France, 4 LETI / DOPT, cea, Grenoble France
Show AbstractSilicon nanowires (SiNWs) are considered as possible building blocks for the future generation of nanoeletronics. SiNWs can be obtained by lithography-etching processes or by direct growth using the catalyzed Chemical Vapor Deposition (CVD) method. Gold is the most widespread catalyst for both historical and practical reasons. However several other metals, such as copper or aluminum, are available and support Si nanowires growth via the usual Vapor Liquid Solid (VLS) mechanism.Controlling SiNWs doping level is a key point for their integration in present Si technology. Usually, phosphorus is used as n-type dopant whereas arsenic or boron are used as p-type dopant. We present here a study on SiNWs p-type doping with aluminum as an original dopant. Gold and copper were used as metal catalysts to evaluate the catalyst influence on the VLS mechanism and doping efficiency.Strong morphological changes are observed, depending on metal catalyst and aluminum precursor concentration. Gold-catalyzed nanowires turn to silicon nanotrees with spectacular lateral branches whereas copper-catalyzed nanowires exhibit slight tapering and no branch.Electrical measurements were made on Cu-catalyzed SiNWs to determine doping type and conductivity. We obtain p-type doped SiNWs in the range of 1.1017 at/cm-3 to 7.1017 at/cm-3 in good agreement with 2D doping references.Our results show that catalyst choice is determinant to obtain a controlled doping level and the required growth stability for both intrinsic and doping conditions.
9:00 PM - LL4.27
Raman Spectroscopy and Microscopy of Single Vanadium Oxide Nanowires.
Kevin Chou 1 , Jessica Lensch-Falk 1 , Eric Hemesath 1 , Lincoln Lauhon 1
1 Materials Science and Engineering, Northwestern University, Evanston, Illinois, United States
Show AbstractRaman spectroscopy and microscopy were used to identify the phases of various vanadium oxide (VOx) nanowires grown by physical vapor deposition (PVD) and to analyze the spatial distribution of the reaction products. Nanowires were synthesized from vanadium oxide powder via catalyst free PVD in a hot-wall horizontal tube furnace. The products were analyzed on the growth wafer, metal coated substrates, and free-standing membranes. Single nanowire Raman spectroscopy was used to analyze the distribution of VOx phases, including VO2 and V2O5, as a function of position within furnace. We found that VO2 can be identified by characteristic peaks at 194, 224 and 616 cm-1 and V2O5 by peaks at 145, 700 and 992 cm-1. Electron diffraction in a transmission electron microscope was also performed to confirm the phase identification by Raman spectroscopy. VO2 nanowires were predominately found in a higher temperature zone while V2O5 and additional phases were found in a lower temperature zone. The yield of desired phases can be improved by introducing multiple temperature zones within the furnace. In this context, single nanowire Raman spectroscopy can play an important role in establishing the processing-structure relationships for nanowire synthesis as it can quickly distinguish and precisely identify the various phases of vanadium oxides. VO2 is of fundamental and practical interest due to a metal-insulator phase transition near room temperature. This reversible phase transition was readily observed directly via optical microscopy by varying the Raman laser power on a single nanowire. Raman spectra also change abruptly upon heating beyond the phase transition temperature. Because metal doping can be used to lower the metal-insulator transition temperature, these non-destructive optical probes show promise for mapping dopant distributions and furthering understanding of nanowire structure-property relationships.
9:00 PM - LL4.3
Electrostatic Potential in a Bent Piezoelectric Nanowire:Theoretical Base of Nanogenerators and Piezoelectric Field Effect Transistors.
Yifan Gao 1 , Zhonglin Wang 1
1 School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractIn order to understand the mechanisms of the piezoelectric nanogenerators and the piezoelectric field effect transistors, we calculated the electrostatic potential in a bent piezoelectric nanowire using macroscopic theory of piezoelectricity [1]. As an approximation, we only considered the direct piezoelectric effect because the mechanical deformation behavior is not significantly affected by the piezoelectric potential. We also neglected the redistribution of the conduction band electrons, which is a valid assumption when the donor concentration is not too high. Under such a simplification, we used Saint-Venant theory of bending to calculate the mechanical behavior, and then derived the piezoelectric remnant polarization. It is this piezoelectric remnant polarization that gives rise to the piezoelectric potential. By solving a Poisson’s equation on the electric potential, we can finally arrive at a close-formed analytical result. The theory suggests that the piezoelectric potential does not depend on the z-coordinate along the nanowire unless very close to the two ends. This is consistent to the model established for nano-piezotronics, in which the potential drop across the nanowire serves as the gate voltage for the piezoelectric field effect transistor. The theory also suggests that the maximum potential at the surface of the NW is directly proportional to the lateral displacement of the NW and inversely proportional to the cube of its length-to-diameter aspect ratio. For a typical VLS grown nanowire with diameter 50nm and length 600nm, the output potential is calculated to be ~300mV. To test the validity of the analytical solution, we also did numerical finite element calculation on the electric potential, and the analytical solution is within 6% from the fully coupled FEM result, clearly establishing the accuracy and validity of our theory.[1] "Electrostatic Potential in a Bent Piezoelectric Nanowire. The Fundamental Theory of Nanogenerator and Nanopiezotronics", Y.F. Gao and Z.L. Wang Nano Lett., 7 (2007) 2499-2505.
9:00 PM - LL4.4
Reversible Resistive Switching Behaviors in NiO Nanowires.
Sung In Kim 1 , Jae Hak Lee 1 , Young Wook Chang 1 , Sung Sic Hwang 2 , Kyung-Hwa Yoo 1 2
1 Physics, Yonsei University , Seoul Korea (the Republic of), 2 National Core Research Center for Nanomedical Technology, Yonsei University, Seoul Korea (the Republic of)
Show AbstractWe have investigated resistive switching phenomena in NiO nanowires, which were fabricated by electroplating Ni inside an anodized aluminum oxide membrane and then oxidizing the Ni nanowires. We show for the first time that NiO nanowires exhibit reversible and bistable resistive switching behaviors like those in NiO thin films. However, compared with NiO films, the forming process took place at much lower electric fields in NiO nanowires, probably due to the grain boundaries being nearly connected through the entire length of the nanowires. As a result, the 1 um-long individual NiO nanowire operated successfully under 2.5 V and 0.23 mA, which are comparable to or slightly lower than the operating voltage and current for 20 nm-thick NiO films with a cell size of 0.05 um2. In addition, we have also measured the I-V curves for NiO nanowires vertically aligned inside an AAO membrane by using a W tip as the top electrode. Reproducible resistive switching behaviors were clearly seen below 20 V even for 25 um-long NiO nanowires. These results suggest the possibility of developing nanowire-based resistance memory devices.
9:00 PM - LL4.5
Design and Fabrication of Out-of-plane Semiconducting Nanowire Devices.
Pradeep Manandhar 1 , Elshan Akhadov 2 , Samuel Picraux 1
1 Center for Integrated Nanotechnologies (CINT), Los Alamos National Laboratory, Los Alamos, New Mexico, United States, 2 Nanomaterials Sciences Department, Sandia National Laboratories, Albuquerque, New Mexico, United States
Show AbstractInterest in applications of semiconducting nanowires for nanoelectronics and sensing purposes has grown rapidly in recent years due to the high control of growth and structural quality of nanowires. Currently, the majority of nanowire electronic devices are fabricated in a planar geometry (2-D) approach by removing the nanowires from the native growth substrate, dispersing them on suitable substrate, and applying electrodes to the nanowires. Here we report the directed assembly of Au seeds into regular arrays for nanowire growth in the out-of-plane (3-D) geometry. We take advantage of their inherent epitaxial out-of-plane growth direction to fabricate nanowire devices without removing them from their growth surface in combination with vertical array contact formation for electrical characterization.One of the biggest challenges in fabricating reliable 3-D nanowire devices has been placing metal seeds on surface with the required spatial registry for the growth of nanowires. We used electron beam lithography and electrophoretic assembly to specifically assemble Au nanoparticle seeds in desired spatial distributions. The Au seeds are then used to grow electrically doped Si and Ge nanowires by vapor-liquid-solid (VLS) growth in cold-wall chemical vapor deposition chamber. The versatility of the VLS method allows the growth of a wide range of semiconducting nanowires with controlled in-situ doping. After growth the nanowires are reinforced with stand-off structures and an oxide filler layer. The device structures are then planarized and the nanowire ends are exposed by chemical mechanical polishing using silica slurries and oxygen plasma etching. The filler layer allows the fabrication of top electrodes using lithographic techniques followed by metal deposition and lift-off. The possibility of performing lithographic techniques on the filler layer allows the fabrication of arrays of top electrodes resulting in arrays of nanowire devices. Using independently selected top and bottom contacts we present the electrical properties of these vertical nanowire resistive arrays.
9:00 PM - LL4.6
Phosphorus Donors and Boron Acceptors in Silicon Nanowires Synthesized by Laser Ablation.
Naoki Fukata 1 2 , Masanori Seoka 3 , Naoyuki Saito 3 , Jun Chen 4 , Takashi Sekiguchi 4 , Kouichi Murakami 3
1 International Center for Materials Nanoarchitectonics (MANA), National Institute for Materials Sciences, Tsukuba Japan, 2 PRESTO, Japan Science and Technology Agency, Kawaguchi Japan, 3 Institute of Applied Physics, University of Tsukuba, Tsukuba Japan, 4 Advanced Electronic Materials Center, National Institute for Materials Science, Tsukuba Japan
Show AbstractSilicon nanowires (SiNWs) are of great interest in the fields of both fundamental and application research. In order to realize nanoscale silicon devices using SiNWs, it is important to investigate the impurity doping. SiNWs were synthesized by laser ablation of a Si target with nickel as a metal catalyst and boron (B) and phosphorus (P) as dopants which were placed in a quartz tube heated at 1473 K in a flowing Ar gas. A frequency-doubled NdYAG laser (532 nm, 7ns pulse width, 10 Hz, 150 mJ/pulse) was used to ablate the targets. Micro-Raman scattering measurements were performed at room temperature with a 532-nm excitation light. Electron spin resonance (ESR) measurements were carried out at 4.2 K using an X-band ESR spectrometer to investigate the state of P donors in SiNWs. A Raman peak was observed at about 618 cm-1 for SiNWs synthesized by using a target with B. The peak frequency is in good agreement with that of a local vibrational mode of B in Si crystal. The Fano broadening due to a coupling between the discrete optical phonon and a continuum of interband hole excitations was also observed in the optical phonon peak, which indicates heavily B doping. These results prove that B atoms were doped in substitutional sites of the crystalline Si core of SiNWs [1]. ESR measurements were also performed to investigate defects and P donor/conduction electrons in SiNWs. An ESR signal due to conduction electrons were observed for SiNWs synthesized by using a target with P, showing that P atoms were clearly doped in substitutional sites of the crystalline Si core of SiNWs [2]. The segregation behaviors of B and P were investigated by using B local vibrational peaks and Fano broadening for B-doped SiNWs, while an ESR signal of conduction electrons was used for P-doped SiNWs. The results showed that the segregation of B is faster than that of P. [1] N. Fukata et al., APL. 89, 203109 (2006). [2] N. Fukata et al., APL. 90, 153117 (2007).
9:00 PM - LL4.7
Modeling the Thermal Conductivity of Semiconductor Nanostructures for Thermoelectrics: Application to Silicon Nanowires.
Michel Kazan 1 2 , Sergio Pereira 1 , Maria Rosario Correia 2 , Pierre Masri 3
1 , CICECO, Department of Physics, University of Aveiro, Aveiro Portugal, 2 , I3N, Department of Physics, University of Aveiro, Aveiro Portugal, 3 , GES, CNRS-UMR 5650, University of Montpellier II, Montpellier France
Show Abstract9:00 PM - LL4.9
Transport Properties of Heterostructured Titanate Nanowires.
Kazuki Nagashima 1 , Takeshi Yanagida 1 , Keisuke Oka 1 , Masateru Taniguchi 1 , Shu Seki 2 , Hidekazu Tanaka 1 , Tomoji Kawai 1
1 ISIR-Sanken, Osaka University, Ibaraki, Osaka, Japan, 2 , Osaka University, Ibaraki Japan
Show AbstractHeterostructured nanowires using transition metal oxides are promising materials not only for the potentials towards multi-functional nanowire-based devices but also for exploring the fundamental physical properties in nanoscale. Titanate is one of the interesting oxide materials due to the diverse use ranging from the photocatalysts to the electronic devices. Although titanate nanowires mainly have been synthesized via a liquid method, the atomically controlled titanate nanowires have been unfeasible. In addition, the transport properties of titanate nanowires have not been investigated. Here we report the transport properties of in-situ formed titanate hetero-nanowires. Atomically controlled MgO/TiO2 and SnO2/TiO2 core-shell heteronanowires were fabricated by in-situ laser MBE technique developed [1-5]. The transport properties of these nanowires were evaluated by the microwave conductivity measurements, and by the dc-electrical measurements using a single nanowire aligned on substrate. The variation of heterointerfaces was found to affect significantly the conductivity of titanate hetero-nanowires. In the presence of atomic diffusion at the heterointerface of MgO/TiO2 nanowires, the insulating spinel (Mg,Ti)3O4 was gradually formed and the conductivity decreased. For the titanate heteronanowires with the well-defined heterointerface, the conductivity was comparable with the well-defined titanate thin films. Thus the well-defined heterointerface is crucial for the heterostructured titanate nanowires and their electronic device applications.[1] Nagashima et al., J. Appl. Phys., 101, 124304 (2007), [2] Marcu et al., J. Appl. Phys., 102, 016102 (2007), [3] Nagashima et al., Appl. Phys. Lett., 90, 233103 (2007), [4] Yanagida et al., Appl. Phys. Lett., 91, 061502 (2007), [5] Nagashima et al., J. Am. Chem. Soc., 130, 5378 (2008)
9:00 PM - LL4: Struc-prop
LL4.8 TRANSFERRED TO LL2.9
Show Abstract
Symposium Organizers
Yi Cui Stanford University
E. (Erik) P. A. M. Bakkers Philips Research Laboratories
Lincoln Lauhon Northwestern University
Alec Talin Sandia National Laboratories
LL5: Doping
Session Chairs
Tuesday AM, December 02, 2008
Room 312 (Hynes)
9:00 AM - LL5.1
Doping of Silicon Nanowires by Ion-implantation and Pulsed Laser Annealing for High Performance Electronics on Glass and Plastic.
Nipun Misra 1 , David Stumbo 2 , Jeffrey Miller 2 , Costas Grigoropoulos 1
1 Mechanical Engineering, University of California, Berkeley, Berkeley, California, United States, 2 , Nanosys, Inc., Palo Alto, California, United States
Show AbstractSilicon Nanowire based electronic devices have been proposed as potential future building blocks for high-performance microelectronics as well as large area macroelectronics. The electronic properties of these nanostructures can be tailored by doping and this has mainly been achieved through in situ doping during growth. For post-growth doping of silicon nanowires either gas-phase introduction of dopants or solid state diffusion has been utilized. Relatively fewer reports of ion-implantation based doping of silicon nanowires can be found in literature.We report post-assembly doping of silicon nanowire devices by ion-implantation and laser annealing by visible radiation for lateral silicon nanowire device architectures. The one-dimensional structure of the silicon nanowires allows for low fluence laser activation and recrystallization of the implanted nanowires. Electrical characterization reveals efficient activation of the implanted dopants. We further show that this process is suitable for realizing high performance nanowire electronics on temperature-sensitive substrates such as glass and plastics. We also discuss and compare the performance of laser annealed and thermally annealed nanowire devices.
9:15 AM - LL5.2
Surface Doping of Silicon Nanowires.
Lidija Sekaric 1 , Ali Afzali 1 , George Tulevski 1 , Sufi Zafar 1
1 , IBM Research, Yorktown Heights, New York, United States
Show AbstractWe report on surface doping of top-down fabricated silicon nanowires using nanowire surface functionalization. Nanowires that make up resistors and field-effect devices and with diameters in the range of tens of nanometers to a few nanometers are functionalized with monolayers to which charged species are attached. We observe changes in resistivity and threshold voltage in these devices as a function of the surface charge and investigate the limits of sensitivity of extremely scaled nanowires.
9:30 AM - **LL5.3
Controlled Chemical Doping of Nanostructured Materials by Molecular Monolayers.
Ali Javey 1 , Johnny Ho 1 , Roie Yerushalmi 1 , Zhiyong Fan 1
1 , UC Berkeley, Berkeley, California, United States
Show AbstractOne of the major challenges towards scaling of the electronic devices to the nm-regime is attaining controlled doping of semiconductor materials with atomic accuracy as at such small scales, the various existing technologies suffer from a number of setbacks. In this talk, we present a novel strategy for controlled, nanoscale doping of semiconductor materials by taking advantage of the crystalline nature of silicon and its rich, self-limiting surface reaction properties. Our method relies on the formation of highly uniform and covalently bonded monolayer of dopant containing molecules which allows for deterministic positioning of dopant atoms on the Si surfaces. In a subsequent annealing step, the dopant atoms are diffused into the Si lattice to attain the desired doping profile. We show the versatility of our approach through controlled p- and n-doping of a wide range of semiconductor materials, including ultrathin SOI and nanowires, which are then configured into novel transistor structures.
10:00 AM - LL5.4
Comparison of Dopant Incorporation Rates in VLS-grown Si and Ge Nanowires.
Daniel Perea 1 , Eric Hemesath 1 , Jessica Lensch-Falk 1 , Lincoln Lauhon 1
1 Materials Science and Engineering, Northwestern University, Evanston, Illinois, United States
Show AbstractMany applications envisioned for semiconductor nanowires require reliable control over dopant concentration. Nanowires grown using the vapor-liquid-solid (VLS) growth process are typically doped by introducing dopant precursor gases during nanowire growth, but the relationship between the gas-phase dopant concentration and the concentration of dopant atoms in the nanowires are not known. Multiple kinetic processes may influence the nanowire doping concentration, including precursor decomposition at the catalyst versus the nanowire sidewalls, dopant incorporation across the liquid-solid interface, and dopant diffusion within the nanowires. We will describe atom probe tomography (APT) studies of B and P incorporation in Si and Ge nanowires, respectively, with the objective of understanding the kinetics of dopant incorporation. Au-catalyzed Si and Ge nanowires were grown by VLS using silane and germane with phosphine and diborane as dopant gases. Dopant concentrations were analyzed using pulsed-laser APT. B atoms were found to incorporate into Si nanowires in concentrations similar to those of the gas phase precursors, whereas P atoms were incorporated into Ge nanowires in concentrations much less than the gas phase precursors. The observed differences in dopant incorporation rates are consistent with the relative stabilities of the semiconductor and dopant precursors. Detailed studies of P-doped Ge nanowires suggest, however, that precursor decomposition is not rate-limiting, nor is the doping level limited by thermodynamic solubility. Instead, the doping level is limited either by inefficient incorporation across the liquid-solid interface or a low concentration of P in the liquid seed particle. For both Si and Ge nanowires, surface doping processes generally led to dopant concentrations that exceeded gas phase concentrations, producing radially non-uniform dopant distributions. Generally speaking, the background gas composition and pressure can be used to influence the kinetics of the surface reactions, and the catalyst may influence the kinetics at the liquid solid interface. These issues will be discussed, as well as the general prospects for dopant mapping in nanowires using APT.
10:30 AM - LL5.6
Distribution of Active Impurities in Single Silicon Nanowire.
Go Imamura 1 , Takahiro Kawashima 2 , Minoru Fujii 1 , Chiharu Nishimura 1 , Tohru Saitoh 2 , Shinji Hayashi 1
1 Department of Electrical and Electronic Engineering, Kobe University, Kobe Japan, 2 , Matsushita Electric Industrial Co., Ltd., Moriguti , Osaka, Japan
Show AbstractSilicon nanowires(SiNWs) synthesized by the vapor-liquid-solid(VLS) growth process have been attracting increasing interest for their possible applications as transistors, chemical sensors, and light emitting devices. For these applications, precise control of the impurity profile and the characterization are indispensable. However, research on the characterization of electrically-active impurities in SiNWs is still very limited. In this work, we study the concentration and distribution of electrically-active impurities in in-situ boron (B) doped SiNWs synthesized by gold (Au)-catalyzed chemical vapor deposition by Raman spectroscopy.B-doped SiNWs exhibit an asymmetric Raman spectrum due to Fano resonance between discrete phonon Raman scattering and continuous electric Raman scattering caused by the excitation of holes in the valence band. To quantitatively evaluate the concentration of active B atoms from the asymmetric spectral shape, the spectra are fitted by a Fano resonance formula and the asymmetry parameters are extracted. From the comparison of the asymmetry parameter with those obtained for reference samples, the concentration of active B atoms in SiNWs is estimated. We show a gradient of active B concentration along the growth direction in B-doped SiNWs. This result suggests a conformal growth of high B concentration layer during the VLS growth. To confirm this effect, we grow p-type/intrinsic (p-i) and intrinsic/p-type (i-p) SiNWs by controlling the supply of B source during the growth. We show from the Raman data that the p-i structure can easily be achieved, but a nominal “intrinsic” region of the i-p structure is heavily B-doped. Based on these data, the doping mechanism and the behavior of B atoms in SiNWs are discussed. We show that the number of B atoms incorporated into SiNWs via Au catalysts is limited and B atoms are mainly doped by conformal growth of highly B doped layer on the sidewall of SiNWs.
10:45 AM - LL5.7
Correlating Dopant Distributions and Electrical Properties of B-doped Si Nanowires.
Ruth Schlitz 1 , Jonathan Allen 1 , Francisco Lopez 1 , Daniel Perea 1 , Eric Hemesath 1 , Lincoln Lauhon 1
1 Materials Science and Engineering, Northwestern University, Evanston, Illinois, United States
Show AbstractMeasurements of the distribution and activation of dopants in semiconductor nanowires are integral both to further understanding of nanowire growth mechanisms and to engineer nanowires for optimal device performance. A combination of Raman spectroscopy, pulsed-laser atom probe (PLAP) tomography, and electrical transport measurements were used to study dopant concentration and activation in boron-doped silicon nanowires. Nanowires were grown by chemical vapor deposition of silane and diborane with Au catalysts in a vapor-liquid-solid (VLS) process. PLAP tomography was used to measure dopant concentrations within single wires and to map the dopant distributions. Nanowire surfaces were found to be preferentially enriched with boron as a consequence of non-catalyzed decomposition of reactants during growth. The non-uniform doping observed with PLAP results in a variation in contact resistance with position for devices fabricated along a single wire; regions of the wire that were exposed to dopant gas precursors for longer times during growth exhibit lower contact resistances. In nanowires for which sufficient vapor-solid growth has occurred to cause measurable tapering, non-uniform doping is also manifest as an axially non-uniform resistivity as determined by four-probe measurements. We conclude that for some growth conditions, these nanowires can be considered a two-component system – a doped VLS-grown crystalline core surrounded by a more heavily doped shell resulting from vapor-solid deposition. Raman spectroscopy has been used previously to infer the concentration of acceptors in B-doped Si thin films and nanowires, and the Raman signal in single nanowires can be enhanced by electromagnetic effects. Here we will discuss the application of Raman spectroscopy and microscopy to explore the limits of minimum detectable active dopant concentration and sample volume in single nanowires. Measurements of dopant incorporation and distribution within single nanowires will ultimately offer insights necessary to control nanowire growth and engineer these nanostructures for device applications.
11:00 AM - LL5:Doping
BREAK
LL6: Device Applications: Logic & Memory
Session Chairs
Tuesday PM, December 02, 2008
Room 312 (Hynes)
11:30 AM - LL6.1
Phase-Change Nanowires: Size-dependent Electronic Memory Switching and Core/shell Heterostructured Multi-state Memory.
Yeonwoong Jung 1 , Se-Ho Lee 1 , Andrew Jennings 1 , Ritesh Agarwal 1
1 Materials Science & Engineering, University of Pennsylvania, Philadelphia, Pennsylvania, United States
Show AbstractMemory devices based on phase-change materials where data is recorded by switching the materials into amorphous/crystalline phases associated with high/low electrical resistances are being actively pursued as alternatives to the current flash memory. A bottom-up approach towards assembly of nanowire memory devices offers unique advantages due to the sublithographic size and defect-free structure of nanowires, where novel functionalities can be implemented by engineering chemical compositions and structures. Here, we report two classes of phase-change nanowires and their memory switching characteristics; single component (GeTe, and Ge2Sb2Te5) and core/shell (Ge2Sb2Te5/GeTe) heterostructured phase-change nanowires. Firstly, we demonstrate that single-component phase-change nanowires satisfy many crucial attributes of a universal memory device, such as, fast and reversible memory swtiching, high scalablity, and data non-volatiality. Signficantly, we observe that size reduction of nanowires strongly affects their electronic/thermal properties; scaling-down of nanowire thickness leads to energy efficient memory switching and faster recrystallization. The observed size-dependent phenomenon are quantitatively explained based on the efficiency of heat locallization in confined geometry and size-dependent material property change, such as, lowering of recrystallization activation energy and increase in surface-to-volume ratio. We also observe that memory swtiching characteristics depends on chemical compositions; lower energy is required to switch Ge2Sb2Te5 nanowires compared to GeTe due to their lower phase-change temperatures and higher resistivity. Secondly, we report novel hetero-structured phase-change multi-state memory devices to enable memory capacity to scale with 3N in comparison to 2N for conventional binary-logic devices. We synthesized core/shell nanowires by depositing GeTe onto Ge2Sb2Te5 core nanowires with good control over their compositions and interfaces. Significantly, core/shell nanowires display multi-state memory switching upon application of electrical-pulse; two-step threshold switching in dc I-V sweep, and three distinct electronic states of low, intermediate, and high resistances, assigned as data 0, 1, and 2. The observed multple electronic states represent different structural phases of the constituents, resulting from sequential amorphous/crystalline phase-change in the core/shell. This analysis is supported by our understanding of the size and composition dependent switching characteristics of single-component phase-change nanowires. The comprehensive study presented here establishes the significance of phase-change nanowires as a new class of memory-device building blocks, suggesting novel size-dependent phenomena and significant enhancement of data capacity by either size reduction and/or via combining materials with different electronic properties.
11:45 AM - LL6.2
Effect of Metal-silicon Nanwire Contacts on the Performance of Accumulation Metal Oxide Semiconductor Field Effect Transistor.
Yi Hong 1 , Pranav Garg 1 , Md Mash-Hud Iqbal 2 , Stephen J. Fonash 1
1 Center for Nanotechnology Education and Utilization, The Pennsylvania State University, University Park, Pennsylvania, United States, 2 Centre for Advanced Photonics and Electronics, University of Cambridge, Cambridge United Kingdom
Show AbstractTuesday, 12/2New Presenter LL6.2 @ 10:45Effect of Metal-silicon Nanwire Contacts on the Performance of Accumulation Metal Oxide Semiconductor Field Effect Transistor. Pranav Garg
12:00 PM - **LL6.3
Silicon Nanowire FETs: From Schottky-Barrier FETs to Steep-Slope Devices.
Heike Riel 1 , Mikael Bjork 1 , Hesham Ghoneim 1 , Joachim Knoch 1 , Heinz Schmid 1 , Emanuel Loertscher 1 , Siegfried Karg 1 , Walter Riess 1
1 , IBM Research GmbH, Zurich Research Laboratory, Rueschlikon Switzerland
Show Abstract12:30 PM - LL6.4
Transparent Thin Film Transistors Based on Assembled Nanowires and Carbon Nanotubes.
Fumiaki Ishikawa 1 , Po-Chiang Chen 1 , Sanghyun Ju 2 , Hsiao-kang Chang 1 , Koungmin Ryu 1 , Gang Lu 3 , Tobin Marks 3 , David Janes 2 , Chongwu Zhou 1
1 Department of Electrical Engineering, University of Southern California, Los Angeles, California, United States, 2 School of Electrical and Computer Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana, United States, 3 Department of Chemistry and the Materials Research Center, Northwestern University, Evanston, Illinois, United States
Show AbstractIn recent years, transparent electronics has attracted numerous research efforts due to its great potential to generate significant impact in a wide variety of applications such as next-generation visual technologies and portable electronics. The key to the realization of transparent electronics is the development of transparent thin film transistors (TTFT) with good device performance, in terms of high device mobility, low temperature fabrication, and optical transparency. In comparisons with bulk and thin film material based transistors, for instance amorphous silicon (α-Si, mobility ~ 1 cm2V-1S-1), both nanowire and carbon nanotube (CNT) based devices, with much higher device mobility, optical transparency, and mechanical flexibility can be promising candidates in the applications of transparent electronics. In this talk, we present our works on the fabrication of high performance TTFTs using both assembled In2O3 nanowires and printed aligned CNTs. In2O3 nanowire TTFTs were made on glass and PET substrates with self-assembled organic nano-dielectric (SAND) as gate insulator and Al source/drain electrodes. These devices showed a transparency of about 80% and n-type transistor performance. The device characteristics exhibit a subthreshold slope of 0.2 V/dec, a current on/off ratio of 106, and a field-effect mobility of 1,447 cm2V-1S-1. These values are much higher than the reported values for In2O3 nanowire and single crystal In2O3 bulk based devices.On the other hand, printed aligned CNT transistors were also fabricated by first growth of aligned CNTs on quartz and then the transfer of them onto a glass substrate, where the ITO gate electrode and polymer dielectric were predefined, following the patterning of ITO source and drain electrodes. Devices yield as high as almost 100% are obtained using our transferred nanotubes, which exhibit p-type transistor performance with a subthreshold slope of 0.4 V/dec, a field-effect mobility of 1,000 cm2V-1S-1, and a current on/off ratio of 3.5×104 after electrical breakdown. In addition, we also successfully demonstrate transparent and flexible PMOS inverters with gain of ~ 0.38 with VDD of 5 V on PET substrate and use the inverters to control commercial GaN LED.As a result, These In2O3 nanowire and CNT TTFTs with the capability of high field-effect mobility and steep subthreshold slope enable us to make fast switching transistors and high-speed logic electronic devices for advanced applications such as active matrix organic LED (AMOLED) displays and artificial skin (E-skin).
12:45 PM - LL6.5
Multifunctional ZnO Nanowires Devices: Tunable n-type Field Effect Transistor and Ferroelectrics Memory.
Lei Liao 1
1 Division of Physics and Applied Physics, Nanyang Technological University, Nanyang Singapore
Show AbstractT. Yu, L. Liao, B. Yan, and Z. X. Shen (
[email protected])Division of Physics and Applied Physics, School of Physical and Mathematical Sciences, Nanyang Technological University, 637371, SingaporeX. W. SunSchool of Electrical and Electronic Engineering, Nanyang Technological University, 639798, SingaporeZnO is a multifunctional semiconductor material with a wide-direct-bandgap (3.37 eV at room temperature) and a large exciton binding energy (60 meV). Recently, it has stirred much interest for its versatile physical properties and promising potential for applications in electronics as well as optoelectronics,[1] gas sensor,[2] and piezoelectricity.[3,4] Moreover, ZnO is a natural n-type semiconductor due to the presence of intrinsic defects such as oxygen vacancies and Zn interstitials,[5] which can serve as the channel of FET without intentional doping.[6]We report the electrical transport properties of n-type ZnO nanowires (NWs) could be effectively tuned from semiconducting to metallic by appropriate Ti plasma ion immersion. The electrical properties of ZnO NWs by Ti-PIII were characterized using single NW field-effect transistors. With increasing the energy of PIII, the resistivity of ZnO decreases from 400 Ω*cm to 0.0033 Ω*cm, while ZnO could change from semiconducting to metallic, and failure-current densities is up to 2.75×10e7 A/cm2. Therefore, the facile method may provide an inexpensive alternative to ITO as transparent conducting oxide materials.To extend the application of ZnO nanodevices, ZnO NW ferroelectrical field effect transistor devices were fabricated with lead zirconate titanate ferroelectric (PZT) film as the gate dielectrics. These transistors exhibit substantially enhanced performance as a result of the high dielectric canstant of PZT. Furthermore, memory effects were observed with our devices, as characterized by a counter-clockwise loop in I-Vg curves which attributed to the switchable remnant polarization of PZT. Extensive measurement demostrated that the single NW based devices behave a high on/off ratio of 10000~100, a retention of more than 50 min, higher carrier mobility, and the low switching voltage (~ 2 V), making them potential candidates for practical memory applications.1.X. W. Sun, J. Z. Huang, J. X. Wang, Z. Xu, Nano Lett. 2008, 8, 1219.2.L. Liao, H. B. Lu, J. C. Li, C. Liu, D. J. Fu, and Y. L. Liu, Appl. Phys. Lett. 2007, 91, 173110.3.H. J. Fan, W. Lee, R. Hauschild, M, Alexe, R. G. Le, R, Scholz, A. Dadgar, K. Nielsch, H. Kalt, A. Krost, M. Zacharias, and U. Gosele, Small 2006, 2, 561.4.X. D. Wang, J. H. Song, J. Liu, and Z. L. Wang, Science 2007, 316, 102.5.J. G. Lu, P. C. Chang, and Z. Y. Fan, Mater. Sci. & Eng. R 2006, 52, 49.6.S. J. Pearton, D. P. Norton, and F. Ren, Small 2007, 3, 1144.
LL7: Emerging Application
Session Chairs
Tuesday PM, December 02, 2008
Room 312 (Hynes)
2:30 PM - **LL7.1
Nanowires: A Platform for Nanoscience and Nanotechnology.
Charles Lieber 1
1 , Harvard University, Cambridge, Massachusetts, United States
Show Abstract3:00 PM - LL7.2
Aligned Semiconductor Nanowire Materials for Photonic Applications.
Otto Muskens 1 , Silke Diedenhofen 1 2 , Rienk Algra 2 3 , Erik Bakkers 2 , Jaime Gómez Rivas 1 2 , Ad Lagendijk 1
1 Center for Nanophotonics, FOM-Institute AMOLF, Amsterdam Netherlands, 2 , Philips Research, Eindhoven Netherlands, 3 , Materials Innovation Institute M2i, Delft Netherlands
Show AbstractAligned semiconductor nanowires represent a novel class of photonic materials. We have fabricated nanowire layers of GaP with controlled diameters, alignment and volume fractions using MOVPE [1]. By increasing the volume fraction and diameter of the wires, nanowire materials can be tuned from completely transparent to strongly scattering. It is shown that nanowire metamaterials with controlled alignment possess unique optical properties, such as giant birefringence and strong geometric scattering resonances. The photonic strength of optically thick nanowire layers has been characterized using enhanced backscattering spectroscopy, demonstrating that these are among the strongest scattering materials to date. Nanowire photonic materials hold promise for applications in nanophotonics, optical sensing, and photovoltaics.[1] O. L. Muskens, S. L. Diedenhofen, M. H. M. van Weert, M. T. Borgström, E. P. A. M. Bakkers, J. Gómez Rivas, Adv. Func. Mater., 18(7), 1:8 (2008)
3:15 PM - LL7.3
Ultra-Small Mode Volume Plasmonic Core-Shell Nanowire Resonators.
Carrie Hofmann 1 , Deirdre O'Carroll 1 , Anna Hiszpanski 1 , Keisuke Nakayama 1 , Harry Atwater 1
1 Thomas J. Watson Laboratory of Applied Physics, California Institute of Technology, Pasadena, California, United States
Show AbstractNanowires are well known for their ability to act as waveguides and resonators. Here, we explore the use of metal-clad semiconductor core-shell nanowires to achieve plasmonic resonators with ultra-small mode volumes and to probe the modification of the spontaneous emission rate of the core material. We explore the modes of Ag-coated nanowires with Si and light-emitting polymer core materials both theoretically and experimentally.
Boundary element method (BEM) and finite difference time domain (FDTD) calculations are performed to investigate modes of resonators 100 nm – 5 µm long with various core diameters (25-200 nm) and Ag cladding thickness (20-100 nm). The electromagnetic local density of states (LDOS) is calculated using BEM, and a one-dimensional Fourier transform of the LDOS data reveals the dispersion relations. For each mode, we also determine the spatial profiles of the electric field intensity and the mode volume, V. We find that for a given core-shell resonator material system, variations in the nanowire diameter and length change the distribution of radial and longitudinal modes, and that structures as small as 100 nm long with 50 nm core diameter still sustain confined modes. We observe these modes in the time domain using plane wave excitation in FDTD simulations. The quality factor Q of each mode is determined by fitting a decaying exponential to the time domain ring-down of the electric field intensity. We find modes with reasonably high Q (100-200) that are highly confined within the resonator core, resulting in a figure of merit Q/V on the order of 103(λ/n)-3.
Several core materials are studied, including Si and poly(9,9-dioctylfluorene) (PFO) nanowires. Silicon nanowire arrays grown by Au-catalyzed chemical vapor deposition are coated uniformly with Ag by thermal evaporation to form Si-Ag core-shell nanowires. The resonators are characterized using dark-field spectroscopy and confocal imaging. PFO nanowires fabricated by melt-assisted wetting of a porous alumina template are dispersed in methanol and dropcast onto a Ag-coated Si substrate. Core-shell resonators are formed by evaporating additional Ag atop the nanowires. We perform photoluminescence (PL) measurements of individual coated and uncoated PFO nanowires to observe changes in the emission spectrum due to guided plasmonic modes, and use dark-field confocal microscopy to image the longitudinal modes. Normalized PL measurements suggest that emission between 700-800 nm is enhanced for PFO wires in contact with Ag as compared to PFO wires on bare Si. Furthermore, changes in the spontaneous emission rate of the PFO due to the metal cladding will be discussed. This work demonstrates the promise of plasmonic core-shell nanowire resonators with ultra-small mode volumes and high Q/V for applications such as single-molecule sensing and modification of the emission properties of active core materials.
3:30 PM - LL7.4
Nanowire Plasmon Waveguides and Junctions.
Laetitia Bernard 1 , Marleen van der Veen 1 , Daniel Turner-Evans 1 2 , Jason Merrill 3 , Kwan Skinner 6 , Sean Washburn 6 , Eric Dufresne 3 4 5 , Mark Reed 1 2
1 Electrical Engineering, Yale University, New Haven, Connecticut, United States, 2 Applied Physics, Yale University, New Haven, Connecticut, United States, 3 Physics, Yale University, New Haven, Connecticut, United States, 6 Department of Physics and Astronomy, University of North Carolina, Chapel Hill, North Carolina, United States, 4 Mechanical Engineering, Yale University, New Haven, Connecticut, United States, 5 Chemical Engineering, Yale University, New Haven, Connecticut, United States
Show AbstractStructures coupling free space photons to fluctuations in the surface density of electrons inherently reduce the spatial extent of propagating electromagnetic fields. Typically, we recently demonstrated metallic nanowires to allow under certain conditions such coupling of light with surface plasmon polaritons (SPP), their propagation along the wires and their recombination into photons at the distal end [1]. Technically, we achieve the coupling by exciting one extremity of the nanowire with a focused laser beam, and we detect re-emitted photons at the distal end with far field microscopy. Prior investigations were performed on synthesized Ag nanowires, what limits the creation of deterministic structures and circuits. Here we demonstrate the ability to microfabricate plasmon waveguides with comparable coupling and propagation efficiencies. We also report the study of multi-wires structures, which gives insights on the type of modes that propagate and the transfer mechanism of these modes from one wire to another. Finally, we show successful plasmon propagation in heterostructured nanowires - specifically, Au/CdSe/Au. Propagation through the semiconductor region is observed, in spite of a clear intrinsic character of the CdSe at the excitation energy used. We determined the roles of evanescent coupling versus photon coupling that governs these structures.Hence, both metallic and heterostructured nanowires revealed to have substantial ability for light subwavelength waveguiding, which opens routes for nanooptics.REFERENCES [1] A.W. Sanders et al., Nano Lett. 6, 1822 (2006).
3:45 PM - LL7.5
Efficiency Considerations in Si-wire Solar Cells.
Michael Kelzenberg 1 , Michael Filler 1 , Brendan Kayes 1 , Morgan Putnam 1 , Josh Spurgeon 1 , Jan Petykiewicz 1 , Nathan Lewis 1 , Harry Atwater 1
1 , California Institute of Technology, Pasadena, California, United States
Show Abstract4:00 PM - LL7: Emerg Apps
BREAK
4:30 PM - LL7.6
Control of the Crystallinity of CdSe Nanowire Arrays for Photoelectrochemical Cells.
Emil Hernandez 1 , Trevor Clark 2 , Thomas Mallouk 1
1 Department of Chemistry, The Pennsylvania State University, University Park, Pennsylvania, United States, 2 Materials Research Institute, The Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractThe development of photoelectrochemical cells (PECs) based on nanowires can allow one to exploit physical effects that emerge on the nanoscale. One particular question of interest is the affect of crystallite domain size on the photoelectrochemical properties of nanowire arrays. In planar PECs, polycrystalline electrodes have poor photoelectrochemical properties because of grain boundary recombination. For nanowires, the short radial distance that minority carriers must travel to reach the interface puts less severe demands on crystallite size. To investigate this question, cadmium selenide (CdSe) nanowires of varying crystallinity were studied. The CdSe nanowire arrays were fabricated by two methods based on the electrodeposition into the pores of alumina membranes. In the first method CdSe was directly electrodeposited from an electrolyte that contained both elements. The second method involved a topochemical cation exchange route starting from crystalline t-Se nanowires. The membrane was etched with aqueous base to expose the wires for conversion and cation exchange.Se nanowires were first converted to Ag2Se using AgNO3 solution. Exchange of the silver cations for cadmium cations takes place in a solution containg Cd2+ and tributylphoshine. The nanowire arrays were characterized using Scanning Electron Microscopy (SEM), Energy-dispersive X-ray Spectroscopy (EDS) and X-ray Diffraction (XRD). Individual wires were also characterized by Transmission Electron Microscopy (TEM), Selected Area Electron Diffraction (SAED), and EDS. SEM images show vertically aligned nanowire arrays independent of the method used. However the two methods yielded wires with different crystalline structures and textures as revealed by TEM, SAED, and XRD. Nanowires obtained from direct electrodeposition have a zinc blende crystal structure with crystallite domains of approximately 6 nm. In contrast, the wires obtained topochemically have a wurzite crystal structure with crystallite domains larger than 40 nm. Nanowire arrays having different microstructures will allow the study of the effect of crystallite domain size on photoelectrochemical properties.
4:45 PM - LL7.7
Improved Photocatalytic Performance of Multi-Layered TiO2/WO3 Nanostructures.
Wilson Smith 1 , Yiping Zhao 1
1 Physics and Astronomy, University of Georgia, Athens, Georgia, United States
Show AbstractThe photocatalytic and structural properties of aligned multi-layer TiO2/WO3 nanostructures were investigate and compared to single layer TiO2 structures. Three different kinds of structures; thin film, tilted nanorods, and vertical nanorods were fabricated by e-beam deposition, oblique angle deposition (OAD), and glancing angle deposition (GLAD). The photocatalytic properties of each sample were found to be enhanced by increasing the surface area of the samples, as well as the enhanced charge separation due to the WO3-TiO2 coupling and order of the layers.For the TiO2 single layer structures, the photocatalytic decay rate of the as-deposited thin film sample is k = 6.15 x 10-5 min-1. However, the as-deposited OAD and GLAD samples show almost an order of magnitude increase in the decay rate, with k = 6.47 x 10-4 min-1 and k = 4.33 x 10-4 min-1, respectively. These increases in decay rates are directly proportional to the increase in surface area. The crystalline structure also has a pronounced effect on the decay rate. After annealing at 300oC, the crystal structure changes from amorphous to anatase, the photocatalytic decay rates for thin film, OAD and GLAD samples changes to k = 3.22 x 10-4 min-1, k = 8.08 x 10-4 min-1 and k = 6.75 x 10-4 min-1, respectively. Annealing at 400oC improves the crystallinity of TiO2 further, and further improves the photo-degradation.For the multi-layered TiO2/WO3 structures, the as-deposited thin films sample shows little degradation over time with a degradation rate constant estimated to be k = 0.18 x 10-3 min-1. The as-deposited OAD sample showed an improved degradation, with a decay rate k = 1.34 x 10-3 min-1, while the as-deposited GLAD sample showed superior photo-degradation abilities, with a rate constant k = 4.81 x 10-3 min-1. Those decay rates are significantly larger than single layer structures. For the samples annealed at 300oC for two hours, the photo-degradation abilities are enhanced, shown by a larger rate constant for each sample. The thin films sample showed a 10-fold improvement, the OAD samples were about 3 times better, and the GLAD sample had a similar improvement. For samples annealed at 400oC, the photodegradation abilities of all the samples decreases.The observed results show that four factors play important roles in nanophotocatalyst design: (1) the surface area; (2) the crystalline structure; (3) the charge separation due to heterostructure; and (4) the arrangement of the multilayer. By carefully designing a multilayered nanorod array structure and optimizing the four factors mentioned above, one can maximize photocatalytic degradation of MB solution.
5:00 PM - LL7.8
Hydrothermal Synthesis and Photocatalytic Activity of Titanium Dioxide Nanotubes, Nanowires and Nanospheres.
Jin Wang 1 , Ayyakkannu Manivannan 2 , Nianqiang Wu 1
1 Department of Mechanical and Aerospace Engineering, West Virginia University, Morgantown, West Virginia, United States, 2 Department of Physics, West Virginia University, Morgantown, West Virginia, United States
Show AbstractOne-dimensional (1-D) nanostructured metal oxide materials have attracted immense interest due to their unique physicochemical properties and potentially important applications. They possess large surface-to-volume ratio, which makes them promising candidate materials for catalysis, energy conversion, gas sensing and etc. In addition, their unique size and shape render them to exhibit different charge transport from their 2-D and 3-D counterparts. Furthermore, even among the same 1-D family, nanotubes, nanowires, nanorods may exhibit different behaviors or performances due to their different morphological structures. Titanium dioxide is widely used in photocatalytic and photoelectrochemical systems. Recently, an alkaline hydrothermal method was demonstrated to be capable of scale-up production of 1-D titanate nanostructures. 1-D titanium oxide nanostructures synthesized hydrothermal processing are of great interest to photocatalysis. TiO2 nanotubes obtained have high specific surface area and open mesoporous morphology, which are expected to facilitate the adsorption of reagents on the active surface sites. For TiO2 nanowires, some preliminary theoretical modeling and calculation work have showed that materials with such 1-D morphology may possess higher charge transport property as compared to nanospheres. In our experiments, a series of TiO2 nanomaterials with different crystal structures (anatase, rutile, TiO2-B) and shapes (nanowires, nanotubes, nanospheres) are synthesized by varying the hydrothermal temperature and the calcination temperature. The physical properties of the materials synthesized are examined by X-ray diffraction (XRD), scanning electron microscope (SEM) and the gas adsorption technique (BET). Photocatalytic activities of these materials are evaluated by degrading methyl orange in an aqueous solution under irradiation of ultraviolet light. The effects of the physical properties such as the crystal structure, crystalinity, shape and specific surface area on the photocatalytic performance are investigated. Our results have shown that better crystalinity results in higher photocatalytical activity. Anatase phase is favorable for photocatalysis. Nanowires exhibits higher photocatalystical activity than nanospheres. The fundamental mechanism of the photocatalysis of the TiO2 materials is also discussed.
5:15 PM - LL7.9
Enhanced 1540 nm Emission from Er-doped ZnO Nanowires via Coupling with Localized Surface Plasmon of Au Island Film.
Jiang-Wei Lo 1 , Chin-An Lin 1 , Jr-Hau He 1
1 , Graduated Institute of Photonics and Optoelectronics Department of Electrical Engineering National Taiwan University, Taiwan Taiwan
Show AbstractEr-doped semiconductors have been investigated intensively as one of the promising optoelectronic materials since the Er intra-4f shell transition leads to a 1540 nm emission, which lies in the minimum loss region of silica-based optical fibers. Nanowire doping for desired electrical, magnetic, and optical properties becomes one of the current focuses of intense research efforts. Recently, ZnO nanomaterials has been proposed for a new host semiconductor of Er3+ [1-3]. In addition, the localized surface plasmon (LSP) has been found to enhance the light emission by particles coating [4].In this work, we observed the enhancement of the Er3+ luminescence intensity via coupling with LSP resonance of Au island film. The Au islands were formed from the gold thin film on Si substrate via rapid thermal annealing. The ZnO nanowire (NW) arrays were synthesized on the Au-coated Si substrates using hydrothermal process. The Erbium was doped using spin coating method and annealing in air at 800 oC. The structures of Er doped ZnO NWs on Au-coated Si have been characterized using the x-ray diffraction, scanning electron microscope, and transmission electron microscope. The photoluminescence measurements of ZnO NR arrays with Au island films were performed using 325nm He-Cd laser. The influence of different size of Au on the Er3+ luminescence intensity was investigated using the IR photoluminescence measurement.It was found that the density of 1540 nm emission increases with the thickness of Au island films due to the enhanced LSP resonance [5]. While the sizes of Au islands increase, the LSP resonance scattering emission dominates over the absorption process in visible region, resulting in the enhanced deep level emission (~520nm) of ZnO NW arrays. The wavelength of 520 nm corresponds to the energy transition between the 2H11/2 state and the ground 4I15/2 state of the 4f shell of Er3+, opening the possibility of energy transfer towards Er3+. Consequently, the enhancement of the emission of the 1540 nm emission from 4I13/2 to 4I15/2 was observed.In summary, we demonstrated the enhancement of 1540 nm emission of Er-doped ZnO arrays via local field enhancement effects due to surface plasmon resonance of Au island films, and absorption and subsequent energy transfer to Er3+.References[1] W. C. Yang, C. W. Wang, J. C. Wang, Y. C. Chang, H. C. Hsu, Tzer-En Nee, L. J. Chen, and J. H. He, 2008 J. Nanosci. Nanotechnol, 8, 3363–3368.[2] Y. Ishikawa, M. Okamoto, S. Tanaka, Dai Nezaki, and N. Shibata, Sep 2005 J. Mater. Res, Vol. 20, No. 9.[3] J. Wang, M. J. Zhou, S. K. Hark, Q. Lia, D. Tang, M. W. Chu, and C. H. Chen, 2006 Appl. Phys. Lett. 89, 221917.[4] M. Fukushima, N. Managaki, M. Fujii, H. Yanagi, and S. Hayashi, 2005 J. Appl.Phys. 98, 024316.[5] E. Trave, G. Mattei, P. Mazzoldi, G. Pellegrini, and C. Scian, 2006 Appl. Phys. Lett. 89 151121.
5:30 PM - LL7.10
Microfiber-nanowire Hybrid Structure for Energy Scavenging.
Yong Qin 1 , Xudong Wang 1 , Zhonglin Wang 1
1 , Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractUsing piezoelectric ZnO nanowires (NWs) grown radially around textile fibers with the assistance of a surface seeding layer and tetraethoxysilane (TEOS) infiltration, a low-cost, robust approach has been demonstrated for converting low-frequency (< 10 Hz) mechanical energy into electricity[1,2]. The design is based on two entangled fibers that form a pair of “teeth-to-teeth brushes”, with one fiber covered with Au coated NWs and the other just by bare NWs. A relative brushing of the NWs rooted at the two fibers produces electricity owing to a coupled piezoelectric-semiconductor process. By using a bundle of these two kinds of fibers, the contact area among fibers is increased greatly and more ZnO nanowires generate electricity, which can drastically enhance the output power. In addition, the inner resistance of the fibers can be decreased to increase the output power through coating a conductive layer on fiber before ZnO growth. The research establishes the fundamental methodology of scavenging vibration/friction energy, air-flow energy, body movement energy using fabric based soft, flexible and foldable materials.[1] Y. Qin, X. D. Wang and Z. L. Wang, Nature, 451(7180): 809-U5. [2] http://www.nanoscience.gatech.edu/zlwang/
5:45 PM - LL7.11
Enhanced Field Emission Properties from Well-aligned ZnO Nanocones.
Yuhua Yang 1 , Yang Feng 1 , Guowei Yang 1
1 School of Phys. & Eng., zhongshan university, Guangzhou, Guangdong, China
Show Abstract
Symposium Organizers
Yi Cui Stanford University
E. (Erik) P. A. M. Bakkers Philips Research Laboratories
Lincoln Lauhon Northwestern University
Alec Talin Sandia National Laboratories
LL8: Electro/Mechanical Properties
Session Chairs
Wednesday AM, December 03, 2008
Room 312 (Hynes)
9:15 AM - **LL8.1
Nanowire Nanogenerators.
Zhong Lin Wang 1
1 Department of Materials Science and Engineering , Georgia Tech, Atlanta , Georgia, United States
Show AbstractDeveloping novel technologies for wireless nanodevices and nanosystems are of critical importance for sensing, medical science, defense technology and even personal electronics. It is highly desired for wireless devices and even required for implanted biomedical devices to be self-powered without using battery. Therefore, it is essential to explore innovative nanotechnologies for converting mechanical energy (such as body movement, muscle stretching), vibration energy (such as acoustic/ultrasonic wave), and hydraulic energy (such as body fluid and blood flow) into electric energy that will be used to power nanodevices without using battery. We have demonstrated an innovative approach for converting nano-scale mechanical energy into electric energy by piezoelectric zinc oxide nanowire (NW) arrays. The operation mechanism of the electric generator relies on the unique coupling of piezoelectric and semiconducting dual properties of ZnO as well as the elegant rectifying function of the Schottky barrier formed between the metal tip and the NW. Based on this mechanism, we have recently developed DC nanogenerator driven by ultrasonic wave in bio-fluid. We have also used textile fibers for energy harvesting. This presentation will present our updated progresss in nanogenerators. [1] Z.L. Wang “Self-powering nanotech”, Scientific American, January issue (2008) 82-87.[2] Z.L. Wang and J.H. Song “Piezoelectric Nanogenerators Based on Zinc Oxide Nanowire Arrays”, Science, 312 (2006) 242-246.[3] X.D. Wang, J.H. Song J. Liu, and Z.L. Wang “Direct current nanogenerator driven by ultrasonic wave”, Science, 316 (2007) 102-105.[4] Yong Qin, Xudong Wang and Zhong Lin Wang ”Microfiber-Nanowire Hybrid Structure for Energy Scavenging”, Nature, 451 (2008) 809-813.[5] Research supported by DARPA, BES DOE, NSF, NASA and Emory-Georgia Tech CCNE from NIH.[6] for details: http://www.nanoscience.gatech.edu/zlwang/
9:45 AM - LL8.2
Quantifying the Elastic Deformation Behavior of Bridged Nanobelts.
Wenjie Mai 1 , Zhong-lin Wang 1
1 , Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractIn this research, we present a new method to quantify elastic deflection behavior of a 1D nanostructure. Our method [1]is based on a continuous scan of a ZnO nanobelt (NB), which is bridged over a trench, by an AFM tip in contact mode; a quantitative fitting of the elastic bending shape of the NB as a function of the bending force provides a reliable and accurate method for measuring the elastic modulus of the NB. The question now becomes what model will be used to quantify the data. There are two typical models derived under different boundary conditions. One is the clamped-clamped beam model (CCBM), in which the two ends of the NB are affixed, so that the deflection and its first derivative are both 0 at two ends. The other is the free-free beam model (FFBM), in which the two ends of the NB can free slide, which means that only support forces exist and there are no force moments; thus, only the deflection is 0 at two ends. In the literature, the CCBM theory was widely used because it was assumed that the adhesion force between a1D nanostructure and the substrate was strong enough to clamp two ends of the nanostructure. However, our curve fitting results on suspended ZnO NBs suggest that the FFBM fits much better than CCBM. The reason behind this phenomenon is that the adhesion between ZnO NBs and the silicon substrate is weak, possible due to the incompatible crystal structure system and the large lattice mismatch. In our case, the adhesion force and the force moment at each side of the ZnO NB can be regarded as 0, so that FFBM is the most reasonable model. By adopting the FFBM, the elastic moduli of three ZnO NBs is determined to be 162 ± 12, 105 ± 10, and 118 ± 14 GPa, respectively. Our new method exhibits unique advantages. For one, consistently fitting the measured deformation profiles can uniquely determine if the measured data are best explained by either the CCBM or the FFBM without pre-assumption. In addition, this new method eliminates the uncertainly in defining the central point of the beam and fully utilizes hundreds of data in a statistical curve fitting way, so it greatly increased the precision and reliability of the measurements.[1] "Quantifying the elastic deformation behavior of bridged nanobelts", W.J. Mai, Z.L. Wang, Appl. Phys. Lett. 89 (2006) 073112.
10:00 AM - LL8.3
High Frequency Impedance Measurements of Arrays of ZnO Nanorods.
David Scrymgeour 1 , Clark Highstrete 1 , Yun-Ju Lee 1 , Erik Spoerke 1 , Mark Lee 1 , Julia Hsu 1
1 , Sandia National Laboratories, Albuquerque, New Mexico, United States
Show AbstractSemiconducting zinc oxide is an important material for next generation nanoscale electronic, optoelectronic, and sensor components because of interesting optical, electrical, and piezoelectric properties and a wide variety of nanostructure synthesis routes. The high frequency electrical and piezoelectric properties of nanostructures are of particular importance for use as high frequency circuit components. To explore these properties, the impedance response of dielectrophoretically-aligned ZnO nanorods on top of a co-planar waveguide (CPW) was examined from 100 MHz to 50 GHz.
Zinc oxide nanocrystals are grown by solution techniques in dense vertically oriented arrays on silicon wafers. These ZnO nanorods have diameters of ~250 nm and heights of ~3 μm with their [0001] polar axis growing from the substrate. The high frequency response of ZnO rods are examined by assembling the rods from suspension across the gaps between the center conductor and the ground planes or across an interrupted center channel of a microwave CPW so that the rods are aligned with the electric field of the propagating mode of the CPW. The transmission and reflection scattering parameters of individual and arrayed nanorods are measured at room temperature using a network analyzer. From the scattering parameters, the capacitance and resistance of the metal-nanorod contact and the nanorod resistivity of individual rods are determined. Using a DC bias tuning, we can further separate the nanorod resistivity from the contact resistance. The calculated contact capacitance value per rod is ~10-16 F consistent with a dielectric rod over a conducting plane. The average resistivity of a nanorod is of the order of ~10-2 Ωcm indicating the rods are unintentionally highly doped. The modification of the contact and nanowire resistance has been accomplished by conformal coatings or doping of the nanorods. Finally, we discuss the high frequency piezoelectric resonances in these nanorods, and show finite element modeling of the phenomena.
This research was supported in part by an appointment to the Sandia National Laboratories Truman Fellowship in National Security Science and Engineering, sponsored by Sandia Corporation (a wholly owned subsidiary of Lockheed Martin Corporation) as Operator of Sandia National Laboratories under its U.S. Department of Energy Contract No. DE-AC04-94AL85000.
10:15 AM - LL8.4
Piezoresistance of c-axis GaN Nanowires.
J. Gray 1 , J. Montague 1 , K. Bertness 2 , N. Sanford 2 , Charles Rogers 1
1 Department of Physics, University of Colorado, Boulder, Colorado, United States, 2 , National Institute of Standards and Technology, Boulder, Colorado, United States
Show AbstractWe report on the piezoresistance (change in nanowire resistance with applied mechanical strain) of c-axis oriented GaN nanowires grown by catalyst-free molecular beam epitaxy. Using a simple 3-edge mechanical wafer bender, we have studied nanowire resistance vs. applied tensile strain out to relative strains, δL/L, where L is the nanowire length, of roughly 10^-3. We find a linear relationship between relative resistance change and relative strain, with a proportionality ‘gauge factor’ that is negative and has magnitude in the range of 5 - 12 for n-type silicon doped nanowires with carrier concentrations of 10^18 cm^-3. These nanowires are single crystal, hexagonal in cross section, from 50- 500 nm diameter, and 5 - 20 microns in length depending upon growth time. We have found via scanning electron microscopy that as-grown nanowires display singly-clamped cantilever mechanical resonances above 1 MHz, with typical resonance full width at half maximum power of less than 100 Hz i.e., a mechanical quality factor, Q, well above 10^4. Using positive feedback, we have observed driven Q’s in excess of 10^6, and have demonstrated the use of oscillator resonant frequency as a sub-attogram resolution mass sensor. The goal of this work is to develop a local electronic readout scheme for nanowire motion based on piezoresistance. We harvest nanowires from the growth substrate and mount them on electron-beam fabricated test structures by dielectrophoresis. Ohmic contacts to n-type silicon doped nanowires are made with annealed Ti/Au contacts. Using an electrostatic gate to drive nanowire vibration, we observe nanowire mechanical resonances in the 10 – 20 MHz region, consistent with nanowire dimensions and a Young’s modulus near 300 GPa. We will report on using the observed piezoresistance as a means of directly measuring nanowire mechanical resonances.
10:30 AM - LL8: Electromec
BREAK
LL9: Electrical & Thermal Transport
Session Chairs
Wednesday PM, December 03, 2008
Room 312 (Hynes)
11:00 AM - **LL9.1
Quantum properties of Nanowires and Tubes.
Leo Kouwenhoven 1
1 , T.U. Delft, Delft Netherlands
Show Abstract11:30 AM - LL9.2
Electrical Characterization of MOVPE-grown InSb Nanowires.
Henrik Nilsson 1 , Philippe Caroff 1 , Claes Thelander 1 , Lars-Erik Wernersson 1 , Lars Samuelson 1 , Hongqi Xu 1
1 Solid State Physics, Lund University, Lund Sweden
Show AbstractIn bulk, InSb is a narrow bandgap (Eg = 170 meV) semiconductor. It has a high electron mobility μn = 77 000 cm2/Vs and is therefore of relevance for transistor applications. It also has a low electron effective mass (0.015me) and a very high electronic g-factor |g|=51 making the material very interesting for studies of quantum and spin physics. InSb nanowires were grown by MOVPE from 40 nm Au aerosol seed particles deposited on a <111>B InAs substrate. The growth was initiated by a 100 nm InAs segment. As the wire material was changed from InAs to InSb the diameter increased from 50 to 65 nm due to the high solubility of Sb in the Au seed particle. The InSb nanowires are untapered and free from stacking faults.The InSb nanowires were transferred to degenerately doped, SiO2 capped, Si substrates. After locating the wires, Ti/Au contacts were made by electron beam lithography, metallization and liftoff. Prior to the metal evaporation the contact areas were etched in diluted (NH4)2Sx for a few minutes.Electrical measurements were performed in the high bias, field-effect transistor (FET), regime at temperatures ranging from 300 K to 4.2 K as well as in the low bias, single-electron transistor (SET), regime at temperatures ranging from 4.2 K to 250 mK.At low temperatures the metal contacts in some cases behave as tunnel barriers. This enabled us to investigate single electron transistors with varying InSb quantum dot size and confinement. The magnetic field dependence of the electron transport via the InSb quantum dots was also investigated.
11:45 AM - **LL9.3
Ultrahigh-density One-dimensional Nanostructures: Beyond Silicon Nanowire Arrays.
Ke Xu 1 , Lidong Qin 1 , James Heath 1
1 Chemistry, California Institute of Technology, Pasadena, California, United States
Show AbstractSeveral years ago our group developed the Superlattice Nanowire Pattern Transfer (SNAP) method for the controlled fabrication of ultrahigh-density nanowire (NW) arrays with NW widths down to 5 nm, and lengths up to a millimeter or longer. The NWs inherit their crystallographic orientation, stoichiometry, and doping from the thin film from which they are patterned. In this talk, we will discuss two recent developments. The first involves the preparation & properties quasi-1D superconducting Nb and YBa2Cu3O7-δ NWs. NWs of both materials with widths as small as 10 nms are found to be superconducting, and all YBa2Cu3O7-δ NWs exhibit a transition temperature above 77K. The influence of NW width and length on the superconducting characteristics will be discussed within the framework of thermally activated phase slip and quantum phase slip models. For Nb NWs, the ability to fabricate very long NWs allows us to directly extract a quasi-particle diffusion length of ~25 µm. The second advance utilizes the trenches separating insulating, SiO2 NWs to precisely control the self-assembly of Fe2O3 quantum dots into ordered 1D and 2D superstructures. Size effects are systematically studied in these systems through temperature-dependent electrical and magneto-electrical measurements. We also demonstrate through such measurements how the physical properties of aligned quantum dots evolve during the transition from a 1D assembly to a 2D assembly.
12:15 PM - LL9.4
Thermal Conductivity in Single-Crystalline Bi Nanowires Grown by a Stress-induced Method.
Jong Wook Roh 1 , Renkun Chen 2 , Seunghyn Lee 1 , Jin Hee Ham 1 , Allon Hochbaum 3 , Kedar Hippalgaonkar 2 , Peidong Yang 3 , Arun Majumdar 2 , Woochul Kim 4 , Wooyoung Lee 1
1 Department of Materials Science and Engineering, Yonsei Univ., Seoul Korea (the Republic of), 2 Department of Mechanical Engineering, University of California, Berkeley, California, United States, 3 Department of Chemistry, University of California, Berkeley, California, United States, 4 School of Mechanical Engineering, Yonsei University, Seoul Korea (the Republic of)
Show Abstract12:30 PM - LL9.5
Thermoelectric Bi2Te3 and PbTe Nanowires.
David Taggart 1 , Yongan Yang 1 , Sheng-Chin Kung 1 , Fan Yang 1 , Chengxiang Xiang 1 , Travis Kruse 1 , Matt Brown 1 , John Hemminger 1 , Reginald Penner 1
1 Chemistry, UCI, Irvine, California, United States
Show AbstractThermoelectric materials have received renewed interest stemming from theoretical studies that suggest one-dimensional conductors should show an enhancement in the dimensionless figure of merit, ZT, caused by enhanced electrical conductivities and/or depressed thermal conductivities relative to bulk materials. In this presentation we report a method for synthesizing thermoelectric (TE) Bi2Te3 and PbTe nanowires, and for suspending nanowires of these materials across a long (25 µm) air gap. We use lithographically patterned nanowire electrodeposition (LPNE) to produce Bi2Te3 and PbTe nanowires that are electrically continuous for millimeters. The nanowires are semi-rectangular in cross-section and the width and height can be independently controlled from 60 to 500 nm and 10 to 100 nm respectively. The structure and chemical composition of the nanowires are studied by X-ray diffraction (XRD), transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). These data show that Bi2Te3 and PbTe nanowires have the desired crystal structure and compoition. The grain diameters of Bi2Te3 and PbTe are 15.3 nm and 14.7 nm respectively. We will discuss the results of our initial attempts to measure the thermoelectric transport properties of these nanowires.
12:45 PM - LL9.6
Correlation of Atomic and Defect Structure of Individual Nanowires with Their Electrical and Thermal Transport Properties.
Tom Harris 1 2 , Taekyung Kim 2 , Michael Siegal 2 , Jianyu Huang 2 , Gang Chen 1
1 Mechanical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 , Sandia National Laboratories, Albuquerque, New Mexico, United States
Show AbstractLL10: Sensing
Session Chairs
Wednesday PM, December 03, 2008
Room 312 (Hynes)
2:30 PM - **LL10.1
Nanowire Sensors.
Ted Kamins 1
1 Information and Quantum Systems, Hewlett-Packard Laboratories, Palo Alto, California, United States
Show AbstractSensors will enable the third generation of computer interactions: Interactions between the environment and computers for the benefit of people. To realize this vision, sensors must become ubiquitous. Nanowires can play a critical role in this transformation because of their small size and their unique properties, often deriving from their small size. The small size allows many sensors to be integrated on a small chip, along with electronics to perform useful, but limited, local computation and communication. The small size also leads to unique properties; for example, nanowire diameters can be comparable to the mean free path of molecules at atmospheric pressure, reducing the damping of resonant nanowire sensors. Nanowire sensors can potentially be used to measure vibration, temperature, sound, humidity, light intensity, fluid flow, and chemical and biological species, among other state variables. In addition to serving as the foundation for sensors, nanowires can also potentially play a role in associated functions of a sensor system, such as energy harvesting and storage. Because nanowires are formed from common semiconductor materials, they can be integrated with conventional circuitry that will be used for limited local computation and communication. The small contact area between the nanowire and the substrate allows growing high-quality nanowires of many different semiconductors on lattice-mismatched substrates, potentially allowing light sources to be integrated into the sensing system. In this presentation, some of the above ideas will be explored and illustrated using results from different groups.
3:00 PM - LL10.2
Silicon Nanowires/nanoribbons for Electrical Detection of Biomolecules.
Niklas Elfstrom 1 , Amelie Eriksson Karlstrom 2 , Jan Linnros 1
1 Microelectronics and Applied Physics, Royal Institute of Technology, Kista-Stockholm Sweden, 2 Department of Biotechnology, Royal Institute of Technology, Stockholm Sweden
Show Abstract3:15 PM - LL10.3
Silicon Nanowire-Lipid Hybrid Bioelectronic Nanodevices.
Nipun Misra 1 2 , Julio Martinez 2 3 , Shih-Chieh Huang 2 , Alexander Artyukhin 2 , Pieter Stroeve 3 , Costas Grigoropoulos 1 , Aleksandr Noy 2
1 Mechanical Engineering, University of California, Berkeley, Berkeley, California, United States, 2 Chemistry, Material, Earth and Life Science Directorate, Lawrence Livermore National Laboratory, Livermore, California, United States, 3 Chemical Engineering, University of California, Davis, Davis, California, United States
Show Abstract Silicon nanowire based electronics presents an attractive device platform for the label-free detection of charged chemical and biological species. The large surface to area ratio of the nanowire field effect transistors provides enhanced sensitivity, and their nanoscale dimensions make them ideal probes of microscopic biological entities. Selective detection of various charged ionic species remains a key characteristic that is to be achieved in next-generation nanowire detection. One of the promising approaches to this problem involves building hybrid bionanostructures that utilize biological molecules such as ion channels for providing key sensor functionality and specificity. We achieve this goal by combining lipid bilayer membranes with silicon nanowire devices where the lipid bilayer shields the nanowire surfaces from charged species in solution. We demonstrate that lipid coatings block proton and ion transport to the nanowire surface. We further show the versatility of this biomimetic hybrid sensor platform by integrating different trans-membrane proteins with the shielding bilayer to achieve desired detection functionality.
3:30 PM - LL10.4
Molecule-based Chemical Vapor Deposition of One-dimensional Oxide Nanostructures: Growth, Characterization and Devices.
Sven Barth 1 , Sanjay Mathur 2 1 , Francisco Hernandez-Ramirez 3 , Sonia Estrade 3 , Albert Romano-Rodriguez 3
1 Department of CVD-Technology, Leibniz-Intitut für Neue Materialien, Saarbrücken Germany, 2 Institute of Inorganic Chemistry, University of Cologne, Cologne Germany, 3 IN2UB and EME-Department of Electronics, University of Barcelona, Barcelona Spain
Show AbstractOne-dimensional (1D) inorganic materials are potential building blocks for future devices due to their structural stability combined with unique structural features and functional properties, related to their lateral size, shape and orientation. We have developed a generic MOCVD approach for size-selective and site-specific growth of nanowires (NWs) by combination of chemical precursor design and a catalyst assisted growth mechanism. For instance, high-yield synthesis of NWs of tin, indium and iron oxides was performed by chemical vapor deposition of appropriate metal-organic precursors.[1,2] Moreover, metal oxide heterostructures were synthesized influencing the material properties of the host structures by structural doping with oxides owning different work functions, such as their band gap value or free charge carrier concentration.[3] Axial and radial dimensions of the NWs were varied by adjusting precursor feedstock, deposition temperature, and catalyst size. Experimental findings related to catalyst assisted growth mechanism and diffusion processes leading to 3D growth will be addressed. In addition, morphological evolution aspects between catalyst and nanowire material as well as determination of chemical composition of vapour phase grown 1D magnetite nanostructures will be described in detail. Evaluation of the magnetic properties of single Fe3O4 NWs will be presented. Individual SnO2 and Fe3O4 NWs were contacted by FIB nanolithography and their intrinsic properties were investigated to obtain precise information of electrical and sensing behaviour in various atmospheres. We could demonstrate that responses of SnO2 nanowires to gases and UV-photons showed diameter dependence, where a remarkable enhancement of sensor performance was observed with diminishing radial dimensions. In addition, components were modified to gain portable NW-based devices operating with a specially designed electronic circuit helping to avoid the premature ageing of these devices due to uncontrolled self–heating effects.[4]A brief account of the generic features of our approach for the synthesis of oxide nanowires of various compositions, structural investigations and results obtained on device applications will be presented.[1] S. Mathur, S. Barth, H. Shen, J.-C. Pyun, U. Werner, Small 2005, 1, 713.[2] S. Mathur, S. Barth, U. Werner, F. Hernandez-Ramirez, A. Romano-Rodriguez, Adv. Mater., 2008, 20, 1550.[3] S. Mathur, S. Barth Small, 2007, 3, 2070.[4] F. Hernandez-Ramirez, J. D. Prades, A. Tarancon, S. Barth, O. Casals, R. Jiménez–Diaz, E. Pellicer, J. Rodriguez, M. A. Juli, A. Romano-Rodriguez, J. R. Morante, S. Mathur, A. Helwig, J. Spannhake, G. Mueller, Nanotechnology 2007, 18, 495501.
3:45 PM - LL10.5
Optical CO Sensors with Designed Cobalt Oxide Nanowire Arrays, Nanoparticles, and Films.
Liang Li 1 , Takeshi Sasaki 1 , Koshizaki Naoto 1
1 , Nanotechnology Research Institute,National Institute of Advanced Industrial Science and Technology, Tsukuba Japan
Show Abstract4:00 PM - LL10: Sensing
BREAK
LL11: Advances in Growth & Characterization III
Session Chairs
Wednesday PM, December 03, 2008
Room 312 (Hynes)
4:30 PM - **LL11.1
Phase Transitions at the Nanoscale.
Junqiao Wu 1 2 , Jinbo Cao 2 , Joanne Yim 1 2 , Bin Xiang 1
1 Materials Science and Engineering, University of California, Berkeley, Berkeley, California, United States, 2 Materials Sciences Division, Lawrence Berkeley National Lab, Berkeley, California, United States
Show Abstract5:00 PM - LL11.2
Direct Correlation of Optoelectronic Property with Structure in I-III-VI2 and III-VI Nanowires.
Hailin Peng 1 , David Schoen 1 , Chong Xie 1 , Yi Cui 1
1 Department of Materials Science and Engineering, Stanford University, Stanford, California, United States
Show Abstract5:15 PM - LL11.3
Junction Delineation of in-situ Doped Silicon Nanowires by Scanning Kelvin Probe Microscopy.
Aaron Vallett 1 , Sarah Eichfeld 2 , Joan Redwing 2 1 , Theresa Mayer 1 , Phil Kaszuba 3 , Andrew Deering 3 , Randall Wells 3
1 Electrical Engineering, Pennsylvania State University, University Park, Pennsylvania, United States, 2 Material Science and Engineering, Pennsylvania State University, University Park, Pennsylvania, United States, 3 , IBM Microelectronics, Essex Junction, Vermont, United States
Show AbstractIn-situ growth of silicon nanowires (SiNWs) with p-n junctions is necessary for the development of model systems to study device scaling as well as novel electronic and sensing elements. However it is difficult to accurately characterize these junctions due to the scale of the SiNW. A few techniques can measure dopant type and junction length, but provide little insight into the junction abruptness and relative doping levels. In this talk we present the results of Scanning Kelvin Probe Microscopy (SKPM) measurements on a variety of SiNWs. SKPM is a well-developed technique often used in the microelectronics industry for analysis of semiconductor junctions. The SKPM system is part of the Scanning Probe Microscope (SPM) platform and measures the Electrostatic Potential Difference (EPD) resultant from the Work Function Difference (WFD) between the SKPM tip and the surface of interest. In this study an in-situ, axially-doped n+ - undoped - n+ SiNW with a diameter of ~60nm was examined by SKPM. The SiNW was synthesized by the vapor-liquid-solid (VLS) method using gold catalyst particles and silane (SiH4) as the silicon source gas. Axial doping was accomplished by alternating the addition of phosphine gas as a source of n-type dopants. The SiNWs were removed from the growth substrate and assembled into test structures using electrofluidic alignment between electrode pairs. Electrical contacts were defined to each end of the SiNW using standard lithographic techniques, followed by oxide removal and metal deposition. The SKPM linescan clearly shows a change in workfunction, and therefore doping, along the wire from the n+ to the undoped and final n+ segment. Some junction grading was also observed. Additional SKPM data will be presented on a variety of SiNW structures to examine the relative workfunction differences between dopant types and ratios as well as junction grading along the SiNW.
5:30 PM - LL11.4
Electrically Controlled Cadmium Selenide-nanowire Growth in Solution.
August Dorn 1 , Cliff Wong 1 , Moungi Bawendi 1
1 Chemistry, MIT, Cambridge, Massachusetts, United States
Show AbstractIdentifying suitable methods for placement and large scale integration of semiconductor nanowires into optoelectronic devices remains one of the major challenges to a wider spread use of these high potential materials. Here we report on electric field induced growth of cadmium selenide nanowires in solution. Using this approach we are able to demonstrate controlled placement and direct, in-situ, integration of nanowires into functioning devices. In addition, moderate growth temperatures (<300C) make this process compatible with conventional silicon based technology.
5:45 PM - LL11.5
Programmed Size-reductions of Semiconductor Nanowires in Fiber-drawing Nanomanufacturing.
Yan Hong 1 , Zeyu Ma 1 , Ming Su 1
1 MMAE, University of Central Florida, Orlando, Florida, United States
Show AbstractRather than making nanowires by catalyst nanoparticles or nanopores, one-dimensional nanomaterials could be made by mechanically deforming bulk materials using electrospinning, fiber drawing or extruding. We have used glass fiber drawing method to make semiconductor nanowires (i.e. lead telluride and bismuth telluride), where the size reduction in the top-down approach is achieved by changing drawing conditions. We have prepared vertically aligned nanowires array with controlled diameter, length and inter-wire spacing, as well as high yield. Pouring the semiconductor powders into a glass tube makes a preform for fiber drawing. The fibers from the first drawing are cut into short pieces of equal length, which are stacked to form a hexagonal bundle for the next drawing. By repeating the draw-cut-stack process for three times, the outer and the inner diameters, the thickness of the glass tube and the diameter of the semiconductor material decrease from centimeters to hundreds nanometer. After the last drawing, the fibers are stacked in a glass tube and annealed around the softening temperature of the glass to make a rod, which is cut perpendicularly to its axis to make plates of ordered micro/nanowire arrays. The morphologies and compositions are confirmed by scanning electron microscope, energy dispersive X-ray analysis, and X-ray diffraction analysis. The conductivities of micro/nanowires along their axial directions are measured by current-voltage measurement and conductive atomic force microscope, which show semiconductor characters of the micro/nanowires.
LL12: Poster Session: Sensing
Session Chairs
Thursday AM, December 04, 2008
Exhibition Hall D (Hynes)
9:00 PM - LL12.1
Room Temperature Hydrogen Detection Using Pd-coated GaN Nanowires.
Wantae Lim 1 , Jon Wright 1 , Brent Gila 1 , Jason Johnson 2 , Ant Ural 2 , Travis Anderson 3 , Fan Ren 3 , Stephen Pearton 1
1 Materials Science and Engineering, University of Florida, Gainesville, Florida, United States, 2 Electrical and Computer Engineering, University of Florida, Gainesville, Florida, United States, 3 Chemical Engineering, University of Florida, Gainesville, Florida, United States
Show AbstractMultiple GaN nanowires produced by thermal chemical vapor deposition were employed as gas sensors for detection of hydrogen at concentrations from 200- 1500 ppm in N2 at 300K. Palladium coating of the wires improved the sensitivity by a factor of up to 11 at low ppm concentrations relative to uncoated controls. The GaN nanowires showed relative responses of ~ 7.4% at 200 ppm and ~ 9.1% at 1500 ppm H2 in N2 after a 10 min exposure. Upon removal of hydrogen from the measurement ambient, ~90% of the initial GaN conductance was recovered within 2 min. Temperature dependent measurements showed a larger relative response and shorter response time at elevated temperature. The adsorption activation energy of the sensor was 2.2 Kcal.mol-1 at 3000 ppm H2 in N2. These sensors exhibit low power consumption (<0.6 mW) at 300K
9:00 PM - LL12.10
Insight Into the Role of Oxygen Diffusion in the Gas Sensing Properties of Metal-oxide Nanowires.
Francisco Hernandez-Ramirez 1 , Sven Barth 2 3 , Roman Jimenez-Diaz 1 , Olga Casals 1 , Juan Ramon Morante 1 , Juan Daniel Prades 1 , Albert Tarancon 4 , Sanjay Mathur 2 3 , Eva Pellicer 5 , Albert Romano-Rodriguez 1
1 EME-IN2UB, Dept. Electronics, University of Barcelona, Barcelona Spain, 2 Institute of Inorganic Chemistry, University of Cologne, Cologne Germany, 3 CVD/PVD Technologies, Leibniz Institute of New Materials, Saarbruecken Germany, 4 , IMB-CNM-CSIC, Bellaterra Spain, 5 , Autonomous University of Barcelona, Bellaterra Spain
Show AbstractMetal-oxide nanowires have emerged as building blocks of a new generation of gas sensors and of catalytic supports due to their unique chemical and physical properties. To date, the electrical response of these nanomaterials towards the presence of gases is commonly modeled as a pure surface phenomenon, whereas other mechanisms, like ion diffusion into their bulk, are often not taken into account. This model does not justify the experimentally observed long-term drift after a sudden change in the partial pressure of oxygen in the surrounding atmosphere of the nanowiresIn this work, a theoretical model, which combines both surface and bulk effects in nanosensors based on individual metal-oxide nanowires, and which is capable to explain these long-term drifts is presented. This model has been evaluated and validated with individual SnO2 and ZnO nanowires, enabling the clarification of the diffusion mechanism of oxygen ions into their bulk [1]. The here-presented theoretical approach may be extremely useful to design new nanodevices able to overcome the lack of stability typical of metal-oxide sensors.1. F. Hernandez-Ramirez et al., Adv. Funct. Mater. 2008 (in press).
9:00 PM - LL12.2
Synthesis and Characterization of Doped Tin Oxide Nanowires for the Detection of Explosives.
Erica Chin 1 , Xiaopeng Li 1 , Zhiyong Gu 1 2
1 Chemical Engineering, University of Massachusetts Lowell, Lowell, Massachusetts, United States, 2 CHN/NCOE Nanomanufacturing Center, University of Massachusetts Lowell, Lowell, Massachusetts, United States
Show AbstractMetal oxide thin films have been widely investigated for use in sensor applications, particularly for gas and chemical detection. Nanowires (including metal oxide nanowires) have been shown to have higher sensitivity as sensors; the addition of a dopant into a metal oxide is an efficient way in which the selectivity of sensors can be significantly increased. In this research, we present the use of tin oxide nanowires with different dopants for explosive detection. Electrodeposition in nanoporous templates is used to synthesize multi-segmented tin oxide (SnO2) nanowires. In this process, a thin metal layer (Ag) is evaporated on one side of an anodized alumina or polycarbonate membrane. This membrane is placed in contact with a copper plate and restrained with a glass cell and o-ring seal. The glass cell is filled with desired electrolytic solutions for nanowire fabrication. Electrodeposition is conducted with a potentiostat with either current or voltage control. Dopants, such as indium, antimony, nickel, and palladium, are incorporated into the tin segment during electrodeposition. After the Ag sacrificial layer is etched, the membrane is dissolved using sodium hydroxide (for alumina) or dichloromethane (for polycarbonate) to release the nanowires. An annealing process conducted at 400°C converts the tin segment of the nanowire to tin oxide. Multi-segmented tin oxide nanowires with various dopants have been successfully fabricated using this method. SEM and TEM along with EDAX are used to characterize the nanowires fabricated. The multi-segmented nanowires are assembled between two gold electrodes using microfabrication techniques, including photolithography, metal deposition and lift-off, to form a sensor device. The nanowires are first dispersed onto a Si/SiO2 substrate and then coated with a negative photoresist layer. The substrate is put into a mask aligner, where the patterns on the pre-designed mask are aligned on a single doped tin nanowire. UV light exposure is carried out after the alignment is completed followed by post-exposure bake and development of photoresist. E-beam metal deposition completes the fabrication of the Au electrodes, which are connected with the nanowire on the substrate. Finally, a lift-off process is used to clean the photoresist and gold residue. Annealing process is conducted at 400°C to convert the tin segment to tin oxide. The electrical properties are measured first to determine the appropriate voltage for sensor performance, and then this sensor device is placed inside a controlled chamber with an attached micro-heater to maintain the working temperature for the sensing element. Tin oxide nanowire sensors with different dopants are exposed to explosives, such as DNT, TNT, for electrical measurements, and both sensitivity and selectivity are studied in order to improve and optimize the sensor performance.
9:00 PM - LL12.3
Tungsten Oxide Nanowire Networks for Highly Sensitive and Selective Gas Sensors.
Jun Zhou 1 2 , Andrea Ponzoni 3 , Yong Ding 1 , Ningsheng Xu 2 , Zhong Lin Wang 1
1 , School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332-0245, Atlanta, Georgia, United States, 2 , State Key Lab of Optoelectronic Materials and Technologies, Guangdong Province Key Lab of Display Materials and Technology, Sun Yat-sen University, Guangzhou 510275, China, Guangzhou China, 3 , SENSOR Laboratory, CNR-INFM, and Dipartimento di Chimica e Fisica, Università di Brescia, Brescia 25121, Italy, Brescia Italy
Show AbstractLarge-scale, single-crystalline cubic structured tungsten oxide (WO3-x) nanowire networks have been synthesized by thermal evaporation of tungsten metal powders [1]. The three dimensional (3D) networks are formed by the interpenetrative nanowires growing along six crystallographically equivalent <100> directions and confined by {010} facets. It has been observed that WO3-x nanowires are always accompanied with ordered planar defects distributed in the planes parallel to the growth direction. Combined the experimental and simulated high-resolution transmission electron microscopy images, we find that the planar defects are due to the accumulation of oxygen vacancies in {010} planes. The presence of ordered and in-plane oxygen vacancies in the {100} planes, which are suggested as the driving mechanism for the formation of 3D networks. For example, the presence of planar oxygen vacancies in (100) and (010) planes results in the growth of [001] nanowire. The 3D interpenetrative growth along the six equivalent directions of <010> produces the three-dimensional network. The gas-sensing performances of 3D WO3-x nanowires networks have been investigated [2]. High sensitivity has been obtained towards NO2, revealing the capability of the material to detect concentration as low as 50 ppb, which is comparable with the threshold limit of outdoor application. The distinctive selectivity at different working temperature is observed for various gases such as H2S, NH3 and CO. The results highlight that tungsten oxide nanowire networks with unique microstructure can be adopted for the development of gas sensors with performances (i.e. high sensitivity towards a target gas and low cross-sensitivity from interfering gases) suitable for practical applications.[1] Three-Dimensional Tungsten Oxide Nanowire Networks, J. Zhou, Y. Ding, S. Z. Deng, L. Gong, N. S. Xu, Z. L. Wang, Advanced Materials, 2005, 17, 2107.[2] Ultrasensitive and highly selective gas sensors using three-dimensional tungsten oxide nanowire networks, A. Ponzoni, E. Comini, G. Sberveglieri, J. Zhou, S. Z. Deng, N. S. Xu, Y. Ding, Z. L. Wang, Applied Physics Letters, 2006, 88, 203101
9:00 PM - LL12.4
Synthesis and Gas Sensing Property of One Dimensional Silver Vanadium Oxide Nanomaterials.
Liqiang Mai 1 2 , Yuan Gao 1 , Yanhui Gu 1 , Wei Jin 1 , Bo Hu 1 , Ying Dai 1 , Wen Chen 1
1 State Key Laboratory of Advanced Technology for Materials Synthesis and Processing, School of Materials Science and Engineering, Wuhan University of Technology, Wuhan, Hubei, China, 2 Department of Chemistry and Chemical Biology, Harvard University, Boston, Massachusetts, United States
Show AbstractIn recent years, great attention has been focused on one dimensional nanostructured materials due to their excellent physical and chemical properties. Silver vanadium oxide was applied as anode material in primary batteries, electron spin device and the sensitive device because of good electrochemical, magnetic and electronic transport properties. In the present work, one dimensional silver vanadium oxide nanomaterials were successfully assembled by ultrasonic treatment followed by rheological self-assembling methods using V2O5 sol. The results show that the as-prepared β-AgVO3 nanomaterials with the length from several to several tens micrometers form bundles of agglomerated smaller filaments with diameters ranging from 100 to 400 nm. This nanostructure is made up of nanorods with the diameters of 40~100nm coated by Ag nanospheres with diameters of several nanometers to 50nm. The obtained AgVO3 nanorods have gas sensing property to ethanol gas. The ethanol gas can react with the negatively charged oxygen adsorbates, and release a trapped electron at the same time. These electrons can reduce the resistance of the gas sensor.ACKNOWLEDGEMENTSThis work was supported by the National Nature Science Foundation of China (50672071, 50672072, 50702039), the Research Fund for the Doctoral Program of Higher Education (20070497012), Program for Changjiang Scholars and Innovative Research Team in University (PCSIRT, No. IRT0547), Ministry of Education, China, the Foundation for Innovation Research Team (2005ABC004) of Hubei Province. The authors are pleased to thank the strong support of Professor ZL Wang, Dr Q Kuang and Dr CS Lao of Georgia Institute of Technology.
9:00 PM - LL12.6
Chemical Electrical Transduction Phenomena in Single SnO2 Nanowires at Room Temperature under UV Illumination.
Juan Daniel Prades 1 , Marta Manzanares 1 , Roman Jimenez-Diaz 1 , Francisco Hernandez-Ramirez 1 , Teresa Andreu 1 , Albert Cirera 1 , Juan Ramon Morante 1 , Sven Barth 2 3 , Sanjay Mathur 2 3 , Albert Romano-Rodriguez 1
1 EME-IN2UB, Dept. Electronics, University of Barcelona, Barcelona Spain, 2 Institute of Inorganic Chemistry, University of Cologne, Cologne Germany, 3 CVD/PVD Technologies, Leibniz Institute of New Materials, Saarbruecken Germany
Show AbstractIt is well-known that in metal-oxide gas sensors an optimal charge transfer between the metal oxide surface and the gas molecules requires the heating of these materials to get reliable devices. However, different scientific issues on the knowledge of nanostructured material’s properties can help in the optimization of the charge transfer even at room temperature. In this work, we present room temperature conductometric gas sensors towards oxidizing species based on single SnO2 nanowires with stable and reproducible characteristics. It is based on optimized charge transfer between gas molecules and the nanowires’ surface obtained using controlled UV illumination. This phenomenon has been modeled and the photon flux calibrated, confirming both theoretically and experimentally that is possible to reproduce the best gas responses obtained by heating the sample. An important advantage of these devices is that they allow to overcome the need of integrated heaters, which strongly simplifies the required fabrication technology. Furthermore, the combination of light and different gas species can provide extremely useful information about the charge transfer phenomena that take place at the nanowires’ surface, as will be outlined in this work.
9:00 PM - LL12.7
Fabrication of Single Polypyrrole Nanowire for pH Sensor.
Gyoung-Rin Choi 1 , Wan-Doo Kim 1 , Hyuneui Lim 1
1 Bio-Mechatronics Team, Division of Nano Mechanical System, Korea Institute of Machinery & Materials, Daejeon Korea (the Republic of)
Show AbstractRecently, conducting polymers have studied as a promising material for synthesis of nanostructured materials and device. Conducting polymer has good electrical, electronic, and optical properties similar to metals or semiconductors while retaining their flexibility, ease of processing, and modifiable electrical conductivity. In particular, polypyrrole has been studied in great detail because of its good electrical conductivity, redox properties, environmental stability, and biocompatibility. In this study, we report on the synthesis of single polypyrrole nanowire using the simple chemical oxidation method and e-beam lithographic patterning. First, the nanochannels of several widths between the gold electrodes were fabricated using e-beam lithography. The typical chemical oxidative polymerization of pyrrole was performed on the patterned device with para-tolune sulfonic acid (p-TSA) (Aldrich) as a doping agent and ferric sulfate hydrate (Aldrich) as an oxidant. The pyrrole (0.15N) and p-TSA (0.1N) were dissolved in ethanol and then an aqueous solution of the ferric sulfate (0.1M) was added into above solution in one portion. The reaction was allowed to proceed for 12 h at room temperature under stirring. And then the synthesized polypyrrole film on device was soaked in acetone for 10 second to remove just polypyrrole film on the e-beam resine. This fabrication method results in single polypyrrole nanowire with controlled lengths, widths, positions, and homogeneous surface roughness. The field effect transistor characteristics of single nanowire having a diameter of 100nm showed that the source-drain current (Ids) of the devices decreased with increasing positive gate Vg, indicating p-type FET. The performance of single polypyrrole nanowire as a pH sensor was showed a linear relationship between pH level and electrical resistance of the nanowire in the range of pH 2-12 and even the small pH solution range 6.5-7.5. The linear regression correlation coefficient of the polypyrrole nanowire is superior to 0.99-0.97. These results show that the possibility of biocompatible polypyrrole nanowire based implantable sensor.
9:00 PM - LL12.8
Parallel Functionalized Silicon Microwires and Nanowires Fabricated by Top-down Approach for Label-free Biosensing Applications.
Gaelle Lehoucq 1 , Laurent Mugherli 3 , Laurent Baraton 2 , Michel Fromant 3 , Paolo Bondavalli 1 , Pierre Legagneux 1 , Pierre Plateau 3 , Didier Pribat 2
1 NANOCARB, Thales research and technology, Palaiseau France, 3 , BIOC, Ecole Polytechnique, Palaiseau France, 2 , LPICM, Ecole Polytechnique, Palaiseau France
Show AbstractUltra-miniaturized and highly integrable devices that enable direct, sensitive, selective and rapid analysis of biomolecules are strongly demanded, for scientific and economical reasons. Silicon Nanowire Field-Effect Transistors (SiNws FET) have been shown to be particularly interesting for these biosensing applications : it has been demonstrated that using nanowires enables a huge increase in sensitivity (compared to the so-called planar CHEM-FETs, studied three decades ago), which is intuitively related to their one-dimensional morphology and nanometer-scale cross-section. These devices exploit what is commonly called the “molecular gate” effect : the detection is based on the extremely sensitive change of the conductivity of the FET channel as a function of the variation of the electric field resulting from charged species binding to the wires. These latter are functionalized using opportunely chosen bioreceptors for highly selective biosensing.Even if high sensitivity and detection limit to low concentration has already been demonstrated with bottom-up Si nanowires devices, considerable problems remain like depositing the nanowires at defined position on chips properly and achieving high quality contacts. This results in severe integration issues that hinder large-scale application. Starting from these considerations, we have investigated a top-down approach to design n-type Si Nws field-effect transistors. The fabrication process is based on the patterning of one or several wires on an ultrathin Silicon On Insulator wafer by e-beam lithography and dry etching. The first advantage of this method is that the fabrication of nanowires and ohmic contacts is highly controlled and reproducible, which allows us to obtain stable output characteristics for our sensor. The second advantage is the possibility of applying a back-gate voltage on the sensor in order to tune, and so to improve, its sensitivity.The final one centimetre-square chip contains 56 transistors characterized by a different number of wires (ranging from 1 to 70) and by a different wire width (ranging from 100nm to 1µm). Actually, a study of the effect of the number, and dimensions, of parallel nanowires has not been largely studied. Our work will finally permit to understand if these parameters influence strongly the sensing sensitivity.Sensors have been tested before the functionalization step by using a conductive liquid as top-gate (reference electrode) and a back-gate control. Before investigating complex functionalization to monitor enzyme activity, we have carried out a simple surface modification procedure (APTES functionalization) which has been characterized by Atomic Force Microscopy (AFM) and fluorescence microscopy. This allows us to perform measurements on devices exposed to solutions with different pH values.
9:00 PM - LL12.9
Highly Sensitive Gas Sensors Based on Three Dimensional Nanowire Arrays.
Jiajun Chen 1 , Kai Wang 1 , Baobao Cao 1 , Lisa Hartman 1 , Weilie Zhou 1
1 AMRI/Chemistry, Advanced Materials Research Institute/UNO, New Orleans, Louisiana, United States
Show AbstractChemical sensors based on three dimensional metal oxide nanowire arrays, such as CuO, WO3, etc., have been fabricated by in-situ micromanipulation in a scanning electron microscope. The sensors are capable to detect air-diluted H2S down to ppb level. Different mechanisms have been proposed for the detections of low and high concentrated H2S. It is found that Oxygen adatom related process is applied for low concentration detection, which induces a recoverable process, while an interface reaction model fits for the high concentration detection, which also explains the exponential sensing response. Furthermore, selective detection to H2S has also been investigated. The sensor demonstrated strong selectivity to H2S, compared to the responses to high concentrated H2, CO, and NH3.
LL13: Poster Session: Synthesis of Heterostructures
Session Chairs
Thursday AM, December 04, 2008
Exhibition Hall D (Hynes)
9:00 PM - LL13.1
Templated Synthesis of Lead Sulfide Coreshell Nanowires.
Min Shern Neo 1 2 , Chorng Haur Sow 2 , Wee Shong Chin 1
1 Chemistry, National University of Singapore, Singapore Singapore, 2 Physics, National University of Singapore, Singapore Singapore
Show AbstractLead chalcogenide nanocrystals have demonstrated tremendous potentials in a variety of applications including IR photodetectors, photovoltaic cells and biological applications[1]. The potential of multiple exciton generation in these small band gap materials in improving the photovoltaic efficiency is particularly exciting. However, the short exciton lifetime in nanocrystals has placed practical challenges to the extraction of the photo-generated charges before the carriers recombine through Auger recombination. A solution to this is to prepare segmented or core-shell materials, e.g. metal/lead chalcogenide nanowires, which will allow extraction of the photo-generated charges through the axial direction of the nanowires. In this work, an attempt was made to synthesize suitable lead sulfide nanowires that might be useful for future photovoltaic studies. In this studies, lead sulfide nanowires were fabricated by both potentiostatic and cyclic electrochemical deposition using a commercial alumina template (200 nm in pore diameter). Segmented and core-shell metal/lead sulfide nanowires were fabricated by using a step wise deposition as well as a newly developed “pore widening method”[2] between each deposition. By changing the scanning rate or increasing the deposition time, we were able to control the length of the nanowires. The prepared nanowires were characterized by X-ray diffraction, scanning electron microscopy and transmission electron microscopy coupled with energy-dispersive X-ray spectrometry. The lead sulfide nanowires were found to be stoichiometric with a significant amount of the nanowires being single crystalline. The composite metal/lead sulfide nanowires consisted of a metal core capped by lead sulfide like a baseball bat. Preliminary electrical characterizations such as I-V measurement of the composite metal/lead sulfide nanowires were also carried out. References:[1] Andrey L.R, Alexander E, Stephen G.H, Stephen V.Ksmall 2007, 3, No. 4, 536 – 557[2] Liu C, Loh P Y, Liang E, Sow C H, Chin W S, submitted for publication.
9:00 PM - LL13.10
Low-temperature Synthesis of Mg-alloyed ZnO Nanowires.
Paresh Shimpi 1 , Pu-Xian Gao 1
1 Department of Chemical, Materials and Biomolecular Engineering & Institute of Materials Science, University of Connecticut, Storrs, Connecticut, United States
Show AbstractMg-alloyed ZnO nanowires have been successfully synthesized on Si substrates using low temperature hydrothermal synthesis technique. The Mg alloyed ZnO nanowires were controlled to be ~30-50 nm in diameter and ~1-5 μm in length. The alloyed Mg concentration varies from 3 to 6 at. % based on different reaction times. Field emission scanning electron microscopy (FESEM), energy dispersive spectroscopy (EDS), and X-ray diffractometry (XRD) were used to investigate the morphology, composition and orientation of the nanowires. Transmission electron microscopy (TEM), room-temperature and low temperature photoluminescence (PL) measurements were carried out to investigate the structural and optical properties of Mg alloyed ZnO nanowires. To help interpret the microscale Mg alloying and distribution process during the nanowire growth, high resolution X-ray electron spectroscopy (XPS) and Auger electron spectroscopy (AES) were also conducted. The tunable Mg alloyed ZnO nanowires with hydrothermal technique could be the basis for ultraviolet nanoscale sensors, transistors and photodetectors.
9:00 PM - LL13.11
Growth of Ordered Network of Tilted InP Nanowires on Silicon Using Chemical Beam Epitaxy.
Gokul Radhakrishnan 1 , Alexandre Freundlich 1 2 , Bodo Fuhrmann 3
1 Electrical and computer engineering, University of Houston, Houston, Texas, United States, 2 Physics, University of Houston, Houston, Texas, United States, 3 Interdisciplinary Centre of Materials Science, Martin Luther University of Halle, Heinrich-Damerow-Strasse , Halle, Germany
Show AbstractIntegrating nanowires made of III-V materials that have superior photovoltaic properties on the commercially well established material silicon will pave way for nanostructured solar cells with improved performance. III-V nanowires on silicon could also mitigate dislocations and thermoelastic strain generated at the junction of bulk heterostructures In this work the growth of undoped InP nanowires on silicon (111) using gold as the metal seed particle was undertaken by chemical beam epitaxy under a vapour phase environment in the 420-5600C range. Trimethylindium and precracked phospine were used as precursors. The native oxide layer on silicon was not removed during growth. Prior to the growth process an ordered array of gold nano dots is integrated on the surface of a silicon substrate using self-assembled (hexagonal compact array) of polystyrene nanospheres as the Au evaporation template. The size of the gold nanodots ranged from 20 nm to 150 nm. The InP nanowires were characterized using Scanning Electron Microscopy(SEM),X-ray diffraction(XRD), Photoluminescence (PL) and Transmission electron microscopy(TEM).The nanowire growth follows the well accepted VLS growth mode by using the uniformly patterned gold nanoparticles as metal catalyst. SEM images show the absence of pyramidal base at the bottom of the wires and the hexagonal pattern is mostly maintained. Contrary to expectations InP wires were found to grow tilted on along the <100> direction. XRD analysis confirms that the wires are of excellent crystalline quality. The optical properties of the as grown samples were investigated by photoluminescence (PL). 10K PL spectrum of the Si (111) sample with 200 nm long InP wires showed a slightly broadened peak, however their energy was consistent with those observed of bulk-like InP. The dominant PL signal is found to be slightly red-shifted (~50mev) from that of bulk InP. This shift may be due to residual strain on the InP wires.
9:00 PM - LL13.12
Designed Experiment to Examine the Growth Conditions for GaN Nanowire Formation on Si(111) Substrates.
Lorelle Mansfield 1 , Kris Bertness 1 , Norman Sanford 1 , John Schlager 1
1 Optoelectronics, NIST, Boulder, Colorado, United States
Show AbstractWe performed a systematic study of growth conditions in an effort to optimize nanowire fabrication in a chemical beam epitaxy (CBE) growth system. The parameters for each of 15 nanowire runs were based on a three-factor, three-level Box-Behnken designed experiment. The three factors that varied were substrate temperature, gallium effusion cell temperature, and a combined plasma power and nitrogen flow. All runs were grown on Si(111) substrates with an approximately 30 nm aluminum nitride buffer layer. AlN buffers were deposited through a reaction of trimethyl aluminum and ammonia at the wafer surface. We then grew the gallium nitride nanowires for 24 hours using a solid-source, dual-filament effusion cell for gallium and a nitrogen plasma source. Nanowire length was measured with cross-sectional field emission scanning electron microscopy (FESEM). Growth rates varied between 0 nm/hr for low Ga cell temperature (850 °C) and 325 nm/hr for high Ga cell temperature (950 °C). The nucleation density was influenced by nitrogen plasma power and flow combination. Nanowire nucleation density was estimated from plan view images using image analysis software. High power (325 W) and flow (1.7 sccm) caused a higher density of nanowires than the low power (225 W) and flow (0.9 sccm) conditions. Substrate temperatures in the low (800 °C) and middle (820 °C) range produced the best nanowire growth environment.
9:00 PM - LL13.13
Effects of Laser Ablation on Growth of ZnO/ZnS/ZnO Multilayer Structured Nanorods by Chemical Vapor Deposition.
Takashi Hirate 1 , Hiroaki Koishikawa 1 , Makoto Yugi 1 , Takuya Kumada 1 , Yuki Matsuzawa 1 , Tomomasa Satoh 1
1 Faculty of Engineering, Kanagawa University, Yokohama Japan
Show AbstractZnO is an attractive II-VI compound semiconductor material for various optoelectronic devices. Recently, growth of various nanostructures of ZnO such as nanorod, nanobelt, nanowall, etc. has been reported, and ZnO has been considered as a promising material for nanodevices. We have studied on fabrication of aligned ZnO nanorods by a low-pressure thermal chemical vapor deposition (CVD) method cooperated with laser ablation of Mn pellet. In this paper, we report on fabrication of ZnO/ZnS/ZnO multilayer structured nanorods and particularly on effects of laser ablation on the morphology of the nanorods intending to develop a new electroluminescent device including ZnO nanorods.The fabrication method of ZnO/ZnS/ZnO multilayer structured nanorods is almost same method used in our previous study. Metal Zn vapor and O2 gas or H2S are used as precursors to synthesize ZnO or ZnS, and N2 is used as carrier gas. A Mn pellet is placed near a Si(111) substrate in a deposition chamber and ablated by a pulsed Nd:YAG laser beam (wavelength =1.064 mm, pulse width = 8 ns, repetition frequency = 10 shots/sec). The pressure is 13.3 Pa and the temperature is 550 C. When ZnO is grown, O2 of 0.88 SCCM mass flow rate is used as a precursor. When ZnS is grown, on the other hand, H2S of 2.0 SCCM mass flow rate is used as a precursor. The growth time is 15 min for each layer. Firstly, ZnO nanorods are grown. Laser ablation of Mn is executed for initial 3 min and only CVD is performed for remaining 12 min. The vertically aligned ZnO nanorods with 100 nm diameter and 1500 nm height are grown. Secondly, ZnS nanorod is grown on the top surface of the first ZnO nanorods. In this process, the morphology is not so dependent on execution of laser ablation of Mn for initial 3 min in this growth process.Finally, ZnO layer is again grown on ZnS/ZnO nanorods described above. When the laser ablation of Mn for initial 3 min is not executed, many fine ZnO whiskers with long length are grown with random directions on the top surface and on the side surfaces of ZnS/ZnO nanorods. When the laser ablation of Mn for initial 3 min is executed, on the other side, a thick whisker is grown on top surface of ZnS/ZnO nanorods. The shape is not nanorod. The diameter is abruptly decreased and the tip is very sharp. The growth of ZnO whisker on the side surface of ZnS/ZnO nanorods is not almost observed. It is found that the laser ablation of Mn for initial 3 min in the third ZnO growth strongly influences the morphology of the third ZnO layer. We estimate that the Mn species that are ablated from a Mn pellet and reaches the surface of ZnS/ZnO nanorods change any quality of the surface of ZnS/ZnO nanorods.We are now studying of finding the growth conditions of the third ZnO layer with morphology of nanorod on ZnS/ZnO nanorods that is considered to be suitable for electroluminescent devices.
9:00 PM - LL13.14
Epitaxial Growth of GaAs and InGaAs Nanowires by Metal-Organic Chemical Vapor Deposition for Optoelectronic Applications.
Cesare Soci 1 , Xin-Yu Bao 1 , Ching-Yang Chen 1 , David Aplin 1 , Deli Wang 1
1 Electrical and Computer Engineering, University of California, San Diego, La Jolla, California, United States
Show AbstractIII-V compound semiconductor nanowires (NWs) are attractive candidates for novel high-performance electronic and optoelectronic devices; being nearly insensitive to lattice mismatch, heteroepitaxial growth of III-V NWs may also enable direct integration with Si electronic and photonic systems. Understanding the NW growth mechanism and controlling the NW morphology are crucial for practical use of NWs in devices and integrated systems. The growth of GaAs and InGaAs NWs by metal-organic chemical vapor deposition (MOCVD) via the Vapor-Liquid-Solid (VLS) mechanism has been systematically investigated as a function of relevant growth parameters such as temperature, precursor flow rates, V/III precursor ratio, growth time and seed nanoparticle size, revealing new insights into the factors determining NW growth. Homo- and hetero-epitaxial growth of GaAs and InGaAs NWs was achieved on various substrates, including GaAs, InAs and Si. Independent of growth substrate, the growth of GaAs NWs appears to be kinetically-limited due to the short surface diffusion length of Ga adatoms, while the growth of InGaAs NWs results from the interplay between kinetic and mass-transport limitations. This narrows the window of growth conditions in which epitaxial NW growth, uniform morphology and composition can be simultaneously achieved. The correlation between NW properties (such as morphology, composition, crystal structure and electronic properties) and the growth parameters as determined by electron microscopy, charge transport, and photoluminescence measurements will be discussed.
9:00 PM - LL13.2
Morphology of GaN Nanowires With InN Quantum Wells.
Alexana Roshko 1 , Roy Geiss 1 , Kris Bertness 1 , Lorelle Mansfield 1 , Todd Harvey 1
1 , NIST, Boulder, Colorado, United States
Show AbstractGaN nanowires with InGaN quantum wells (QW) were grown without catalyst by molecular beam epitaxy on Si(111) substrates with AlN buffer layers. The nanostructures of the wires and QWs were examined with field emission scanning electron microscopy and transmission electron microscopy (TEM). In most samples the wires were well separated. Wires were grown with lengths from 1 to 15 μm and diameters from 50 to 300 nm. The wires grew along the [0001] axis, had hexagonal cross-sections, and were free from dislocations unless they were coalesced. The lattice spacing in the region of the QWs was measured from TEM lattice fringe images, both by direct measurements and from fast Fourier transform (FFT) analysis. For a 5 nm thick InGaN QW it was determined that the c-lattice parameter expanded from 0.518 nm adjacent the well to 0.540 nm in the QW, a difference of 4 %. At the same time the in plane lattice parameter in the QW region contracted -0.4 %. The ability to determine the lattice spacing along adjacent axes enables the separation of composition and strain.[1,2] FFT analysis also enabled mapping of the lattice parameters onto the TEM images, revealing subtle variations across the QW region. The results for QWs of different thicknesses and wires with different diameters will be discussed.[1] M. Schuster et al, J. Phys. D: Appl. Phys. 32 (1999) A56.[2] S. Pereira et al. MRS Vol. 639 (2001) G3.52.
9:00 PM - LL13.4
Redox Mediated Growth of Heterostructured Zn(x)Cd(1-x)Se/CdSe Nanocrystals.
Jonathan Doll 1 , Fotios Papadimitrakopoulos 1 2
1 Nanomaterials Optoelectronic Laboratory, Polymer Program, Institute of Materials Science, University of Connecticut, Storrs, Connecticut, United States, 2 Department of Chemistry, University of Connecticut, Storrs, Connecticut, United States
Show Abstract9:00 PM - LL13.5
Modeling Composition Maps and Morphology of Nanowire Heterostructures.
Vivek Shenoy 1 , Nikhil Medhekar 1
1 Division of Engineering, Brown University, Providence, Rhode Island, United States
Show AbstractHeterostructure nanowires of various group IV and III-V semiconducting materials (such as SiGe, InAsP, InGaAs) have generated significant interest due to their potential application in fabrication of sub-20 nm transistors that can act as building blocks for nanoscale electronic and optoelectronic devices. Furthermore, in core-shell nanowires, strain from lattice mismatch can lead to ordered patterns of quantum dots on their lateral surfaces, thus providing an opportunity for integrating quantum dots with semiconductor nanowires. The properties of the devices built using heterostructure nanowires are expected to be dependent not only on the morphology of the heterostructures, but also on the variations in the distribution of various alloy species within the heterostructures. Development of a quantitative description of morphology and composition of nanowire heterostructures, however, is a challenging task as the morphology and composition is determined by a complex interaction between ternary alloy thermodynamics, relative interfacial energies, long-range elastic interactions and kinetics of growth. In this work, using a recently developed scheme [1] that efficiently combines finite element methods with optimization based on quadratic programming, we first determine morphology and composition profiles in the heterostructures at equilibrium. The kinetics of growth is then incorporated by expressing the spatially-dependent rate of growth in terms of an effective chemical potential that accounts for relative partial pressures of species in the surrounding media, their rates of dissolution in the catalyst particles in addition to the local curvatures and elastic fields. Our work identifies means for controllingthe morphology and composition variations in nanowire heterostructures envisioned for future applications.[1] N. V. Medhekar, V. Hegadekatte and V. B. Shenoy, Phys. Rev. Lett. 100, 106104 (2008).
9:00 PM - LL13.6
Vertically Aligned GaAs Nanowires on Si (111) Substrate.
Xinyu Bao 1 , Cesare Soci 1 , David Aplin 1 , Darija Susac 2 , Karen Kavanagh 2 , Deli Wang 1
1 Department of Electrical and Computer Engineering, University of California San Diego, La Jolla, California, United States, 2 Department of Physics, Simon Fraser University, Burnaby, British Columbia, Canada
Show Abstract9:00 PM - LL13.7
Indium Incorporation and Composition Control in GaN/InGaN core/shell Nanowires.
Shaul Aloni 1 , Tevye Kuykendall 2
1 The Molecular Foundry, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 2 Chemistry, UC Berkeley, Berkeley, California, United States
Show Abstract9:00 PM - LL13.8
Vertical III-V Nanowire Growth on Si Substrate by Selective-Area MOVPE.
Katsuhiro Tomioka 1 , Yasunori Kobayashi 1 , Junichi Motohisa 1 , Shinjiroh Hara 1 , Takashi Fukui 1
1 Graduate School of Information Science and Technology, and Research Center for Integrated Quantum Electronics (RCIQE), Hokkaido University, Sapporo, Hokkaido, Japan
Show AbstractIII-V semiconductor nanowires directly grown on silicon are promising as an essential component of very-large-scale-integrated (VLSI) applications in the scaling roadmap over the next several decades because the vertical architecture leads to high integration of fast III-V channels on Si. Some efforts have been made to achieve III-V nanowire growth directly on Si substrate without fundamental issues such as mismatch due to lattice and thermal expansion. However, difficulty remains in controlling the growth directions. Because III-V nanowires preferentially grow in the <111>B direction, the growth occurs to equivalent tilted directions as well as vertical (111) direction on non-polar Si(111). Here we demonstrate an effective method of controlling nanowire directions to vertical, and show position-controlled growth of InAs, GaAs nanowires and GaAs-AlGaAs core-shell nanowires on Si by using selective-area MOVPE. 20-nm thick SiO2 covered n-Si(111) substrates were used. The periodic openings were formed by electron-beam (EB) lithography and wet chemical etching. The opening diameter was 100 nm. Trimethylgallium (TMGa), trimethylindium (TMIn), trimethylalminum (TMAl) and arsine (AsH3) gas were used for the growths. The growth temperature was 750deg.C for GaAs and GaAs-AlGaAs core-shell nanowires, and 540deg.C for InAs nanowires. Key issue for forming vertical III-V nanowires is to form (111)B-oriented surface on Si(111) because the III-V nanowires always grow to the [111]B direction in SA-MOVPE. For this purpose, the substrate was thermally cleaned at 925deg.C for 5 min and the cooled down to 400deg.C in H2 ambient. Next, AsH3 was supplied for 5 min to form As-covered Si surface like GaAs(111)B. Then, we grew thin GaAs (InAs) buffer layer at 400deg.C to prevent As-desorption at high temperature. Finally, the temperature was increased to 750deg.C (540deg.C), and the nanowire growth was resumed. Under this growth sequence, we obtained position-controlled and vertically grown nanowires on Si substrate. The percentage of the vertically grown GaAs (InAs) nanowires was almost 100% (95%). Also, we grew GaAs-AlGaAs core-shell structure. Diameter of grown core-shell structure increased as compared to that of GaAs nanowires with same opening diameter, while the height was almost same as that of GaAs nanowires. This means AlGaAs layer grew preferentially to lateral direction.Photoluminescence (PL) of GaAs and GaAs-AlGaAs core-shell nanowires on Si were investigated at 4K. PL peak was observed at around 1.51 eV and FWHM was ~ 100 meV which was similar to those on GaAs(111)B substrates [1]. This means crystal quality of GaAs nanowiers on Si is same as homoepitaxially grown nanowires. Moreover, PL intensity was drastically enhanced to 500 times for GaAs-AlGaAs core-shell structure because of the surface passivation effect.[1] B. Hua et al., Appl. Phys. Lett., 91, 131112 (2007)
9:00 PM - LL13.9
Epitaxial III-V Nanowire Growth on Planar Si Surfaces.
Hermann Detz 1 , Pavel Klang 1 , Aaron Andrews 2 , Youn Hyun 2 , Alois Lugstein 2 , Werner Schrenk 1 , Gottfried Strasser 1 3
1 Center for Micro- and Nanostructures, Vienna University of Technology, Vienna Austria, 2 Institute for Solid State Electronics, Vienna University of Technology, Vienna Austria, 3 Departments of Electrical Engineering and Physics, SUNY at Buffalo, Buffalo, New York, United States
Show AbstractThe transition to one-dimensional structures like nanowires allows a wider range of materials that can be combined in one epitaxial structure. Potentially of interest is the integration of III-V semiconductors, suitable for optoelectronic applications, on silicon which is dominating the field of electronics. We report a way to grow epitaxial GaAs nanowires on planar Si surfaces as well as structural and crystallographic characterization.In our experiments we used [111] and [112] Si substrates. By patterning the samples using different sequences of HF dips and sputter deposition of Au, we were able to examine the effect of the native oxide on nanowire growth. In a next step GaAs with equivalent layer thicknesses of 100-200nm was grown using a solid source molecular beam epitaxy system. While parts, where Au was deposited without removing the oxide, showed no formation of nanowires, additional HF dips before and after the sputter process improved the one-dimensional growth of GaAs. While this growth process allows a wide temperature range from 450°C – 590°C and growth rates from 0.1µm/h to 0.5µm/h, high As overpressure of about 1e-5 torr is essential, otherwise a polycrystalline GaAs film is formed.High resolution transmission electron microscopy (HRTEM) showed that wurtzite crystal structure is most favorable under these conditions. The nanowires grow along the [1000] direction of the wurtzite lattice. Structural analyis of GaAs nanowires on [111] Si substrates using scanning electron microscopy (SEM) indicated no ordered nanowire growth. Knowing from other experiments that GaAs nanowires grow perpendicular to the [112] facets of Si nanowires, we also investigated the growth of III-V material on planar [112] substrates. In this case we obtained partial ordering of the nanowires, however they did not align perpendicular to the substrate, but were tilted by about 20°.To conclude we present a way to grow one-dimensional epitaxial GaAs on planar Si substrates. Furthermore by choosing particular substrates, we are able to achieve alignment to crystal directions of the substrate. This technique can be used to combine both III-V semiconductors suitable for optoelectronics and Si technology with the prospect of fabricating integrated devices in future.
Symposium Organizers
Yi Cui Stanford University
E. (Erik) P. A. M. Bakkers Philips Research Laboratories
Lincoln Lauhon Northwestern University
Alec Talin Sandia National Laboratories
LL14: Synthesis of Heterostructures
Session Chairs
Thursday AM, December 04, 2008
Room 312 (Hynes)
9:30 AM - **LL14.1
Synthesis of Si/Ge Nanowire Heterostructures.
S. Picraux 1 , P. Manandhar 1 , J. Swadener 1
1 Center for Integrated Nanotechnologies, Los Alamos National Laboratory, Los Alamos, New Mexico, United States
Show AbstractWe discuss progress in the understanding and control of the vapor-liquid-solid (VLS) synthesis of Si/Ge nanowire heterostructures. Electrically doped nanowire heterojunctions are of great interest for the realization of next generation functional electronic and photonic devices, and VLS synthesis has the potential for scalable production of the heterostructures required for such devices. However improved control of VLS synthesis, including the growth kinetics, electrical doping, and heterostructure formation processes is needed to exploit the new properties available with nanowires for such applications. In this presentation we will focus on Si/Ge nanowires and their electrical doping for the formation of nanowire heterojunctions. Molecular dynamics simulations are used to predict the 3D strain distributions at Si/Ge heterostructure interfaces and deformation potential theory is used to give insight into the resulting band gap tailoring possible by exploiting the large strains available through the Si/Ge 4.1% lattice mismatch. Such nanowires heterostructures (single interfaces and thin strained layers) offer opportunities for large strains and resulting band gap modification not possible in bulk and 2D layered structures. The role of the VLS process in the synthesis of electrically doped heterostructures will then be reviewed. Our understanding of the doping process during VLS growth, the interactions of gas phase dopant and growth precursors, and their effects on nanowire synthesis will be discussed. During axial heterostructure formation the liquid eutectic can act as a reservoir of Si (Ge) atoms upon switching to Ge (Si), and lead to interface broadenings of ~10 nm even for abrupt switching of the precursor gases. The resulting sharpness of Si/Ge biwires interfaces depends sensitively on the growth conditions. We show by experiment and modeling how the eutectic composition, growth temperature and nanowire diameter influence the interface sharpness.
10:00 AM - LL14.2
Synthesis and Strain Relaxation Mechanisms of Ge-core/Si-shell Nanowires.
Irene Goldthorpe 1 , Paul McIntyre 1
1 Materials Science and Engineering, Stanford University, Stanford, California, United States
Show AbstractRadial Ge/Si nanowire heterostructures can significantly improve the electronic and optoelectronic performance of nanowire devices and enable new device architectures. If the misfit strain between the core and shell is unrelaxed, the large stresses induced in the core can be used to alter the band structure and to engineer changes in carrier mobilities and band gap. However, only under some very limiting conditions are core-shell nanowires predicted to be able to coherently accommodate the full 4.2% lattice mismatch between Ge and Si. Epitaxially aligned arrays of Ge-core/Si-shell nanowires were synthesized by etching the Au catalyst from chemical vapor deposited Ge nanowires and subsequently depositing a heteroepitaxial Si shell. The resulting misfit strains in the core and shell were partially relieved both through roughening of the shell surface and the generation of misfit dislocations. The causal relationship between the two relaxation mechanisms as well as how each mechanism depends on the core diameter and shell thickness were investigated using transmission electron microscopy. Synchrotron x-ray diffraction measured the residual axial and radial strains. From our experiments and their relation to various theoretical treatments in the literature concerning strain relaxation in core-shell nanowires, we gain valuable insight toward the ultimate goal of synthesizing fully strained structures which are dislocation-free and have minimal surface roughness.
10:15 AM - LL14.3
Local Probe X-ray Diffraction from Single Nanowires.
Julian Stangl 1 , Bernhard Mandl 1 , Virginie Chamard 4 , Mario Keplinger 1 , Thomas Martensson 2 , Cristian Mocuta 3 , Ana Diaz 1 3 , Till Metzger 3 , Guenther Bauer 1
1 Inst. for Semiconductor and Solid State Physics, Johannes Kepler University Linz, Linz Austria, 4 Im2np, Universites Paul Cezanne, Marseille France, 2 The Nanometer Structure Consortium, Lund University, Lund Sweden, 3 , European Synchrotron Radiation Facility, Grenoble France
Show AbstractSemiconductor nanowires receive considerable interest due to their ability to accommodate even large lattice mismatches virtually without introduction of defects in the nanowire volume. Especially interesting is the combination of direct band-gap semiconductors such as InAs or GaAs with silicon-based substrates, useful for an integration of optical components with mainstream Si electronics. Due to the small contact area with the substrate (diameters range typically from several 10 to few 100 nm) even anti-phase boundaries pose no big problem. However, the structure of the substrate/nanowire interface is still under debate, it is unclear whether lattice mismatch is relieved elastically or plastically, and which defect types are involved. On the other hand, especially for growth along the [111] direction, III-V nanowires contain a large number of stacking faults, leading to changes of the crystal structure from cubic zinc-blende to hexagonal wurtzite structure.We use x-ray diffraction to determine the crystalline structure of CVD grownInAs/InAsP nanowires grown on Si(111) substrates without the use of Au catalyst. Investigating various crystal reflections, the relative amounts of wurtzite and zinc-blende lattice are determined, they vary between 20% and 80% depending on growth conditions. With extremely focused x-ray beams, with focus diameters in the range of 1000 nm, it is possible to illuminate coherently only single nanowires. From the scattering pattern, the characteristic distribution of cubic and hexagonal segments is derived, showing that wurtzite segments are typically about 7 nm long for wires of 70 nm diameter. Reducing the focus diameter to about 100 nm, it becomes possible to scan the x-ray focus along a single nanowire in order to probe locally the crystal structure. This allows analyzing individually the signals from the wire bulk and from the interface regions to the substrate.
10:30 AM - LL14.4
Stress-Induced Formation of Periodically-Twined ZnSe/SiO2 Core/Shell Nanowires and Nanoribbons.
Xia Fan 1 , Mingliang Zhang 1 , Xiangmin Meng 1 , Xiaohong Zhang 1 , Wenjun Zhang 1 , Chunsing Lee 1 , Shuittong Lee 1
1 Center of Super-Diamond and Advance Films and Department of Physics and Materials Science, City University of Hong Kong, Hong Kong China
Show Abstract10:45 AM - LL14.5
Monolithic Integration of GaAs Nanowires with Carbon Nanotube Composite Films.
Parsian Mohseni 1 , Gregor Lawson 2 , Alex Adronov 2 , Ray LaPierre 1
1 Department of Engineering Physics, McMaster University, Hamilton , Ontario, Canada, 2 Department of Chemistry, McMaster University, Hamilton, Ontario, Canada
Show AbstractThin, flexible, electrically conductive sheets composed of poly(ethylene imine)-functionalized single-walled carbon nanotubes (CNTs) were fabricated through a vacuum filtration process. Once submerged in a HAuCl4 solution, Au nanoparticles were reduced upon the surface of the nanotube fabric with diameters up to roughly 20 nm. Next, the Au-decorated CNT sheets were loaded in a gas source molecular beam epitaxy (GS-MBE) system wherein Ga monomers and As2 dimers were supplied from a heated solid elemental effusion cell and hydride gas cracker, respectively. At a growth temperature of 550 °C, the Au nanoparticles on the CNT substrates act as atomic sinks for the collection of gas-phase semiconductor adatoms to accommodate nucleation at the Au/CNT interface and promote subsequent nanowire growth according to the well known vapour-liquid-solid (VLS) growth mechanism. As such, densely packed GaAs nanowires were grown on the surface of the CNT films. The randomly oriented nanowires were observed to have diameters between 20 to 200 nm, with lengths up to 2.5 μm. Transmission electron microscopy (TEM) analysis confirmed initiation of growth on the surface of the nanotube composite films. TEM studies also showed the nanowires to preferentially grow in the <0001> direction of the wurtzite crystal structure with intermittent zinc blende stacking faults. Micro-photoluminescence (µ-PL) characterization exhibited emission corresponding to band-to-band GaAs transitions. Core-shell pn-junction nanowires were also grown according to the same procedure. Upon processing and deposition of electrical contacts, rectifying current-voltage behaviour was observed and attributed specifically to the pn-junction nanowires. Flexible optoelectronic devices such as photovoltaic cells are highlighted as potential applications of this work.
11:00 AM - LL14: Synthesis
BREAK
LL15: Optical Properties I
Session Chairs
Thursday PM, December 04, 2008
Room 312 (Hynes)
11:30 AM - LL15.1
Laser Oscillation Thresholds for ZnO Nanowires.
Mariano Zimmler 1 , Jiming Bao 1 , Sven Mueller 2 , Kristen Sunter 1 , Carsten Ronning 3 , Federico Capasso 1
1 School of Engineering and Applied Science, Harvard University, Cambridge, Massachusetts, United States, 2 II. Institute of Physics, University of Göttingen, Göttingen Germany, 3 Institute for Solid State Physics, University of Jena, Jena Germany
Show Abstract11:45 AM - LL15.2
Radial Quantum Confinement of ZnO Ultrafine Nanorods Observed Using Polarization Spectroscopy.
Kokoro Kitamura 1 , Takashi Yatsui 1 , Motoichi Ohtsu 1
1 Graduate School of Engineering, The University of Tokyo, Bunkyo-ku, Tokyo, Japan
Show AbstractZinc oxide (ZnO) nanostructures have attracted much attention for use in optical and electrical devices because of the large exciton binding energy and large oscillator strength of ZnO. ZnO also has great potential as a material for room-temperature nanoscale photonic devices. The authors have reported a nanophotonic switch using one-dimensional ZnO nanorod double-quantum-well structures. Additional functional devices can be realized using the radial quantum confinement effect of ZnO nanorods. We used a two-step temperature growth method to fabricate vertically well-aligned ultrafine ZnO nanorods. In this paper, we report the polarized photoluminescence of these ultrafine ZnO nanorods and the appearance of quantum confinement in the nanorods.The ZnO nanorods were grown on sapphire (0001) substrate using a catalyst-free metalorganic vapor phase epitaxy system. Diethylzinc and oxygen were used as the reactants. Our method consists of low-temperature growth for the vertical alignment of ZnO nanorods and high-temperature growth for the ultrafine nanorod structure. In the first step, vertically well-aligned ZnO nanorods were grown at 450°C for 30 min. In the second step, ultrafine nanorod structures were grown from the tips of the preformed thick nanorods at 750°C for 10 min. The ultrafine ZnO nanorods were aligned to the substrate vertically, because their growth directions followed those of the preformed nanorods. The average diameters of the ultrafine and thick nanorods determined using scanning electron microscopy were 28.3 and 124.4 nm, respectively. Transmission electron microscopy and electron diffraction measurement revealed that the nanorods grew along the c-axis with this method.We measured polarized excitation spectra of the ultrafine ZnO nanorods at room temperature. A HeCd laser (λ=325nm) was used for the excitation light. Using a polarizer and wave plate, the polarization was selected to be parallel or perpendicular to the axis of the nanorods. The photoluminescence spectra had peaks at 3.298 and 3.292 eV under parallel and perpendicular polarized excitation, respectively. Since ZnO has a hexagonal crystal structure, the spectral peak shift due to the polarization dependence might originate from the crystal anisotropy. However, we did not obtain such polarization dependence with the thick nanorods grown using one-step temperature growth at 450°C. Therefore, we believe that the blue-shifted peak originated from radial quantum confinement in the ultrafine nanorods. The spectral shift observed under parallel polarization was due to the stronger absorption in ultrafine nanorods than with perpendicular polarization. Although the ultrafine ZnO nanorods had an average diameter of 28.3 nm, their diameters varied, and smaller ones had diameters less than 20 nm. These results also support the origin of the blue-shifted emission from radial quantum confinement in the ultrafine nanorods grown in the second step.
12:00 PM - **LL15.3
ZnO Nanowires and Nanowire-architectures: Fabrication and Polariton Luminescence and Lasing.
Daniel Vanmaekelbergh 1 , Heng-Yu Li 1 , Sven Ruhle 1 , Bert van Vugt 1 , Kobus Kuipers 2
1 Debye Institute for NanoMaterials Science, University of Utrecht, Utrecht Netherlands, 2 AMOLF, FOM, Amsterdam Netherlands
Show AbstractZnO is a key material in advanced optical studies. The direct exciton generation (3.37 eV, near UV) is a very strong transition. In addition, the electron - hole attraction is huge (up to 60 meV); this means that excitons in ZnO are stable (with respect to an electron-hole plasma) up to room temperature and large excitation intensities. These elements result in a strong interaction between exciton and resonant photon, i.e. exciton-polariton formation. We have synthesized high-quality ZnO nanowires with the vapor-liquid-solid method and with simple catalyst-free CVD on sapphire substrates. Mechanistic studies show that the growth rates in the length - (i.e. polar c-axis) and lateral directions depend on the temperature and Zn vapor pressure. Understanding the effects of these growth parameters allows one to grow wires of homogeneous thickness, or wires with controlled modulations in the diameter. The wires are highly luminescent, and show lasing at low excitation intensities. Single wires can be transferred from the sapphire substrate to a SiO2 wafer, and ZnO wire architectures such as ZnO rings and coupled wires have been obtained by micromanipulation. We have studied the optical properties of single wires in a spatially resolved way with a luminescence microscope. Light absorption is dominated by the upper and lower exciton-polariton branch, the normal-mode splitting is between 50 and 150 meV, indicating strong-light matter interaction. Light emission occurs at the wire ends only. The luminescence spectrum shows a series of Fabry-Pérot type peaks up to 300 meV below the band-gap. These peaks form a strongly curved (E,K) dispersion relationship below the exciton resonance, indicative of strong light-matter interaction. Hence, the luminescence spectrum consists of sub-band gap polariton eigenmodes delocalized over the length of the nanowire. At higher excitation intensity, the luminescence peaks narrow and develop into lasing peaks, still characterized by a curved polariton (E,k) dispersion relation. Moreover, new peaks at lower energy arise, possibly by polariton-polariton scattering. ZnO nanowire architectures, such as connected nanowires and nanowire rings are studied at present. 1.Huang, M. H. et al. Room-temperature ultraviolet nanowire nanolasers. Science 292, 1897-1899 (2001).2. Vugt, L. K. et al. Exciton Polariton Confined in a ZnO Nanowire Cavity. Phys. Rev. Lett. 97, 147401 (2006). 3.Vugt, L. K. v., Rühle, S. & Vanmaekelbergh, D. Phase-correlated non-directional laser emission from ZnO nanowires. Nano Lett. 6, 2707 (2006).4.Gil, B. & Kavokin, A. V. Giant exciton-light coupling in ZnO quantum dots. Applied Physics Letters 81, 748-750 (2002).5.S. Rühle et al., Nature of sub-band gap luminescent eigenmodes in a ZnO nanowire. Nano Lett. 8, 119 (2008).
12:30 PM - LL15.4
Excitation Polarization Anisotropy of Individual CdSe Nanowires.
Jay Giblin 1 , Vladimir Protasenko 1 , Masaru Kuno 1
1 Department of Chemistry and Biochemistry, University of Notre Dame, Notre Dame, Indiana, United States
Show Abstract CdSe semiconducting nanowires (NWs) exhibit strong excitation polarization anisotropies (ρexc) that offer insight into their basic electronic and optical properties. To date we have investigated the size-, wavelength-, and dielectric environment-dependencies of ρexc for individual CdSe NWs. Here we present an overview of results obtained from single wire anisotropy measurements. NWs employed in the polarization studies were synthesized via solution-liquid-solid (SLS) and vapor-liquid-solid (VLS) methods to prepare a broad range of NW diameters. Both approaches yielded highly crystalline, uniform wires, with straight, V-shaped, and tripod morphologies, and lengths readily exceeding 1μm. Diameters of the SLS (VLS) prepared NWs ranged from 5-30 (40-100) nm, providing an opportunity to explore the transition from optically thin to optically thick wires. Single NW optical experiments conducted on SLS CdSe NWs (optically thin) revealed strong ρexc dielectric environment dependencies in good agreement with classical dielectric contrast models. Furthermore, excitation wavelength sensitivities were absent from 490-630 nm for wires measured in air and under polymethyl-methacrylate (PMMA). Only moderate radial dependencies were seen for wires embedded in PMMA. In contrast excitation polarization anisotropy measurements preformed on VLS CdSe NWs (optically thick) showed significant excitation wavelength and diameter dependences. Specifically, substantial decreases in ρexc were observed with increasing excitation wavelength from 490 to 640 nm and increasing NW diameter. The polarization sensitivity of optically thin NWs can be accurately described through electrostatic expressions for excitation fields incident parallel and perpendicular to the nanowire axis. However, these expressions fail to predict any radial or excitation wavelength dependences of ρexc for optically thick NWs where interference between optical modes inside of a wire can occur. As such, we have considered the non-uniform distribution of the complex Poynting vector within a NW. Values of ρexc calculated based on this model are in good agreement with experimental observations. Such studies further elucidate the actual size-, wavelength- and dielectric environment-dependencies of NW excitation polarization anisotropy and may potentially aid their future use in polarization sensitive devices.
12:45 PM - LL15.5
Unusually Strong Space Charge Limited Current in Nanorods.
Francois Leonard 1 , Alec Talin 1 , B. Swartzentruber 2 , X. Wang 3 , S. Hersee 3
1 , Sandia National Laboratories, Livermore , California, United States, 2 , Sandia National Laboratories, Albuquerque, New Mexico, United States, 3 Center for High Technology Materials, University of New Mexico, Albuquerque, New Mexico, United States
Show AbstractThe current-voltage characteristics of nanorods are often observed to be nonlinear, and this behavior has been ascribed to Schottky barriers at the contacts. We present electronic transport measurements on nominally undoped GaN nanorods and demonstrate that the nonlinear behavior originates instead from space charge limited current (SCLC). A theory of SCLC in nanowires corroborates the experiments, and shows that poor screening in high aspect ratio materials leads to a dramatic enhancement of SCLC, resulting in new scaling in terms of the aspect ratio. When the GaN nanorods are doped using Si and Mg to form an axial pn junction, the transport is no longer dominated by SCLC but rather has the characteristic rectifying diode behavior including electroluminescence.
LL16: Optical Properties II: Alloys & Heterostructures
Session Chairs
Thursday PM, December 04, 2008
Room 312 (Hynes)
2:30 PM - LL16.1
Changes of the Photoluminescence Properties in Metal-Coated ZnO Nanowires.
Tobias Voss 1 , Jan-Peter Richters 1 , Robert Imlau 1 , Sven Mueller 2 , Christian Borschel 3 , Raphael Niepelt 3 , Carsten Ronning 3
1 Institute of Solid State Physics, University of Bremen, Bremen Germany, 2 II. Institute of Physics, University of Goettingen, Goettingen Germany, 3 Institute of Solid State Physics, University of Jena, Jena Germany
Show AbstractThe processing of semiconductor nanowires into optoelectronic devices usually involves sequential steps of deposition of certain dielectric spacer layers and metallic contacts [1]. Especially for nanowires with diameters below 200 nm, the deposited materials can be expected to significantly affect the surface states and the band structure within a surface layer. These changes can be probed by photoluminescence spectroscopy where the recombination dynamics of free and bound excitons and electron-hole pairs at temperatures between 4 and 300 K is monitored. In this work, we demonstrate that coating of ZnO nanowires with polymers, dielectric layers, and metals significantly changes their photoluminescence properties. For a large number of different dielectrics, we find that the surface-exciton emission [2] in the coated nanowires is significantly increased while at the same time the defect luminescence is quenched [3,4]. In contrast to these results, metal-coated ZnO nanowires exhibit an increased defect luminescence in the green-to-red spectral region while the surface-exciton emission is reduced. We performed the experiments for a large number of different metals with different work functions and in all cased found qualitatively the same results.In the usually n-type ZnO nanowires, an upward bending of the valence and conduction band close to the surface due to pinning of the Fermi level forms a depletion layer for electrons and an accumulation layer for holes. The electric field in this layer as well as its width depend on the doping concentration of the nanowire material, the surface states and the surrounding material. We explain our experimental results with a model that accounts for the changes in the surface band-bending for different coating materials. Our findings will be of importance for a systematic tailoring and improvement of the emission and absorption characteristics of nanowire-based optoelectronic devices.References:[1] Scalable fabrication of nanowire photonic and electronic circuits using spin-on glassM. A. Zimmler, D. Stichtenoth, C. Ronning, W. Yi, V. Narayanamurti, T. Voss, and F. CapassoNano Letters 8, 1695 (2008).[2] Recombination dynamics of surface-related excitonic states in single ZnO nanowiresT. Voss and L. WischmeierJournal of Nanoscience and Nanotechnology, 8, 228 (2008).[3] Enhanced surface-excitonic photoluminescence in ZnO/Al2O3 core-shell nanowiresJ.-P. Richters, D. S. Kim, R. Scholz, M. Zacharias, and T. VossNanotechnology 19, 305202 (2008).[4] Influence of polymer coating on the low-temperature photoluminescence properties of ZnO nanowiresJ.-P. Richters, T. Voss, I. Rückmann, and J. GutowskiAppl. Phys. Lett. 92, 011103 (2008).
2:45 PM - LL16.2
InGaN Nanowires with Complete Composition Tunability.
Tevye Kuykendall 1 , Philipp Ulrich 1 , Shaul Aloni 2 , Peidong Yang 1 2
1 Chemistry, UC Berkeley, Berkely, California, United States, 2 Molecular Foundry, Materials Science Division, Lawrence Berkeley National Labs, Berkeley, California, United States
Show AbstractGaN and InN are direct bandgap semiconductors that form a solid solution with a tunable bandgap between 0.9 eV to 3.4 eV. This makes InGaN a desirable material for applications such as color-tunable lasers, light emitting diodes, solar water splitting, and high-efficiency photovoltaics. For all of these applications, however, synthesis of high-quality InGaN alloys remains one of the major obstacles. While many synthetic techniques produce alloys, the system remains plagued by issues such as phase separation and other inhomogeneities. It has been shown that for very thick films, relaxation of strain (built up at the substrate film interface) leads to increased indium incorporation. Because of their size, nanowires are able to accommodate strain over a much shorter dimension. Here we present the ability to make single-crystalline In(x)Ga(1-x)N nanowires of any composition (x = 0 to 1) using gallium and indium chloride precursors. Systematic characterization of their physical and optical properties is presented.
3:00 PM - LL16.3
Emission Characteristics of InGaN-based Nanocolumn Arrays Grown by rf-plasma Assisted Molecular Beam Epitaxy.
Katsumi Kishino 1 2 3 , Hiroto Sekiguchi 1 2 3 , Akihiko Kikuchi 1 2 3
1 Department of Engineering and Applied Sciences, Sophia University, Tokyo Japan, 2 Sophia nanotechnology research center, Sophia University, Tokyo Japan, 3 CREST, Japan Science and Technology Agency, Tokyo Japan
Show Abstract3:15 PM - LL16.4
Luminescence Characterization of InGaN/GaN Vertical Heterostructures Grown on GaN Nanocolumns.
Rob Armitage 1
1 , Matsushita Electric Works, Ltd., Kadoma, Osaka, Japan
Show Abstract
We report the growth of vertically aligned GaN nancolumns and InGaN/GaN heterostructures grown by catalyst-free molecular-beam epitaxy (MBE) on Si substrates and their photoluminescence (PL) characterization. The catalyst-free MBE approach was shown to be effective for growth of GaN nanocolumns with low defect densities [1] and nanocolumn LEDs have been demonstrated using coalesced planar layers to make electrical contacts to nanocolumn arrays on conducting Si substrates [2].
In this work the nanocolumn density, average diameter, and diameter distribution are controlled by varying parameters such as the GaN nucleation layer thickness and the Ga/N flux ratio and temperature used to grow the main columns. Samples with average column diameters ranging from 20-80 nm, column heights from 300-800 nm, and densities from 4×109 to 2×1010 cm-2 have been investigated.
InGaN/GaN vertical heterostructures grown on GaN nanocolumns exhibit PL internal quantum efficiencies exceeding 20%, more than twice that of similar planar film heterostructures grown by MBE. Moreover, the PL efficiency remains high for a wide range of peak emission wavelengths from 480-540 nm, unlike planar structures which show decreasing efficiency at longer wavelengths. These properties are attributed to the low densities of structural defects in nanocolumns compared to planar films and the relaxation of InGaN/GaN lattice mismatch strain at the column free surface.
For identical growth conditions of the InGaN/GaN heterostructure, PL spectra with dramatically different peak wavelengths and intensities are observed depending on the morphology (average diameter, diameter distribtution, and density) of the underlying GaN nanocolumns. We speculate that the differences in the PL spectra are related to differences in the absolute In concentration and/or the intra-column In distribution for heterostructures grown on columns of different diameters.
With relatively uniform column morphologies, single-color blue, green, or orange-yellow PL emission is obtained. On the other hand, certain morphologies with sufficiently broad column diameter distributions result in white light emission from the growth of a single InGaN/GaN heterostructure. Nanocolumns therefore show promise for applications in phosphor-free white light-emitting diodes.
[1] E. Calleja et al., Phys. Rev. B 62, 16826 (2000).
[2] A. Kikuchi et al., Jpn. J. Appl. Phys. 43, L1524 (2004).
3:30 PM - LL16.5
Spatially-Resolved Cathodoluminescence Study of GaN nanowires and GaN/AlxGa1-xN Core-Shell Nanowires.
Qiming Li 1 , Alec Talin 2 , Andrew Armstrong 1 , George Wang 1
1 Advanced Materials Sciences Dept., Sandia National Laboratories, Albuquerque, New Mexico, United States, 2 Materials Physics Dept., Sandia National Laboratories, livermore, California, United States
Show AbstractGaN nanowires and GaN/AlxGa1-xN core-shell nanowires grown by metal-organic chemical vapor deposition are studied by spatially-resolved cathodoluminescence. For GaN nanowires, band-to-band luminescence at 362 nm and defect-related yellow luminescence at 550 nm are observed. Point defects, which lead to the yellow luminescence, possibly deplete free carriers near the nanowire surface. This depletion is evidenced by the existence of a critical GaN nanowire radius, below which yellow luminescence dominates. GaN/AlxGa1-xN core-shell nanowires are observed to exhibit stronger band-to-band emission at 362 nm as compared with GaN nanowire without a AlxGa1-xN shell. The enhanced band-to-band emission is attributed to the passivation of the surface states of GaN nanowires. Preliminary electrical measurements also suggest an improvement in the conductivity related to the presence of an AlxGa1-xN shell layer. The effect of nanowire growth temperature on the cathodoluminescence of the nanowires is also discussed. Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United States Department of Energy under contract DE-AC04-94AL85000. This work is supported by DOE EERE/NETL.
3:45 PM - LL16.6
Near-infrared Lasers in GaAs/GaAsP Coaxial Core-shell Nanowires.
Bin Hua 1 , Junichi Motohisa 1 , Shinjiroh Hara 1 , Takashi Fukui 1
1 Research Center for Integrated Quantum Electronics , Hokkaido University, Sapporo Japan
Show AbstractIn recent several years, semiconductor subwavelength nanowires have been demonstrated to show laser emission. Representative semiconductor materials fabricating nanowire lasers are ZnO, GaN and CdS etc. Such nanowire lasers are currently among the smallest known lasing devices, with lengths between one and several tens micrometers and diameter that can be significantly smaller than the emission wavelength in vacuum. For a single-crystalline nanowire, the end facets form natural mirror surface that create an axial resonator. That is, one-dimensional semiconductor nanowires not only act as a gain medium but also a waveguide and a Fabry-Perot resonator, which provide coherent feedback. The light-emitting capability of the nanowires, combined with their other unique features that arise due to their one dimensionality, make them particularly interesting to consider as a candidates for components of future nanoscale photonic systems.However, most advances of nanowire lasers were successfully realized via wide-bandgap semiconductor materials, giving an ultraviolet or blue laser emission. To date, little investigation of nanowire lasers in near-infrared spectral range was reported. Here we successfully obtained near-infrared lasers working at 810-820 nm wavelengths, inside GaAs/GaAsP core-shell nanowires. The function of the GaAsP shell layer is the passivation of the surface states of the GaAs core nanowires, resulting in the high-quality and optically active nanowires.The nanowires were synthesized by selective-area metal organic vapor phase epitaxy, using trimethylgallium, tertiarybutylphosphine and arsine as source materials. Typical scanning electron microscopy images of a growth of nanowires showed a clear hexagonal cross section of the wires. The wires grew perpendicularly on GaAs (111)B wafers, thus the growth direction coincided with the〈111〉direction. For optical characterization the nanowires were mechanically cut down and dispersed onto a SiO2-covered Si substrates. An isolated single GaAs/GaAsP core-shell nanowire was excited with a regeneratively amplified Ti: sapphire pulsed laser (753 nm in wavelength) and photoluminescence (PL) emission were collected at 4.2 K. The wire was 330 nm in diameter and 5.5 μm in length. Excitation power dependent PL spectra presented that optically pumped lasing occurred in GaAs/GaAsP core-shell semiconductor nanowires. At lower excitation powers PL spectra displayed a broad and featureless emission. However, above a certain threshold a sharp and narrow peak appeared and the peak intensity increased super-linearly with excitation energy, suggesting a large increased gain. Also the emission peak width narrowed to nearly 0.67 nm, indicating the high quality factor of the nanowire cavity. Temperature dependence on lasing was also discussed.
4:00 PM - LL16: Opt Prop 2
BREAK
LL17: Photodetection
Session Chairs
Thursday PM, December 04, 2008
Room 312 (Hynes)
4:30 PM - LL17.1
Size-dependent Dark- and Photo- Conductivities and Determination of Depletion Width in M-axial GaN Nanowires.
Hsin-Yi Chen 1 2 , Reui-San Chen 2 , Fu-Chieh Chang 1 , Li-Chyong Chen 3 , Kuei-Hsien Chen 2 3 , Ying-Jay Yang 1
1 Graduate Institude of Electronics Engineering, National Taiwan University , Taipei Taiwan, 2 Institute of Atomic and Molecular Sciences, Academia Sinica, Taipei Taiwan, 3 Center for Condensed Matter Sciences, National Taiwan University , Taipei Taiwan
Show AbstractDifferent from the traditional films and bulks, nanowires, having large surface sensing area while maintaining a continuous transport path in the same time, show much higher photocurrent gain and responsivity as a light sensing material.[1,2] Accordingly, understandings of the size and/or surface effects on the transport properties of this one-dimensional nanostructure are critical. Here, we report the size-dependent dark- and photo- conductivities in the individual m-axial GaN nanowires grown by chemical vapor deposition (CVD). The dark conductivity shows a rapid drop from a constant level of 150±50 Ω-1cm-1 to 6±2 Ω-1cm-1 as diameter decreases from 135 nm via a critical value of 35±5 nm to 20 nm. The diameter-dependent conductivity shows the NWs below 40 nm are fully depleted and the carrier concentration is no longer a constant due to the electron capture of surface states in this n-type semiconductor. In addition, similar size dependence is also observed from the photocurrent gain of nanowires. The calculated products of carrier lifetime (t) and mobility (m) show a near two orders of magnitude drop at diameters smaller than a consistent critical diameter of 40 nm. As the photoconductivity of the m-axial GaN nanowires has been proved of being dominated by charge separation induced by surface electric field,[1,2] the strong diameter-dependent tm values actually implies the lower surface band bending in smaller nanowires. Noted that the critical diameter at 40 nm of these CVD-grown m-axial NWs is much lower than the values of 80-100 nm reported for the c-axial NWs grown by molecular beam epitaxy (MBE).[3] The probable difference resulted from different carrier concentration of bulk and depletion width of surface is also proposed and discussed.[1] R. S. Chen, H. Y. Chen, C. Y. Lu, K. H. Chen, C. P. Chen, L. C. Chen, Y. J. Yang, Appl. Phys. Lett. 91, 223106 (2007). [2] R. S. Chen, S. W. Wang, Z. H. Lan, J. T. H. Tsai, C. T. Wu, L. C. Chen, K. H. Chen, Y. S. Huang, C. C. Chen, Small, in press (DOI: 10.1002/smll.200701184). [3] R. Calarco, M. Marso, T. Richter, A. I. Aykanat, R. Meijers, A. v.d. Hart, T. Stoica, H. Luth, Nano. Lett. 5, 981 (2005).
4:45 PM - LL17.2
Molecule-Tunable Photoconductivity and Engineering of Surface Band Bending in Polar GaN Nanowires.
Reui-San Chen 1 , Abhijit Ganguly 2 , Chien-Yao Lu 1 , Chin-Pei Chen 2 , Li-Chyong Chen 2 , Kuei-Hsien Chen 1 2
1 Institute of Atomic and Molecular Sciences, Academia Sinica, Taipei Taiwan, 2 Center for Condensed Matter Sciences, National Taiwan University, Taipei Taiwan
Show AbstractWe report the tunable nature of the surface-dominant photoconductivitiy in the strong polar m-axial GaN nanowires. Both the transient and steady-state photocurrents are found to be sensitive to the adsorption of gaseous and chemical molecules. Natural exposure to ambient oxygen and artificial modification by hydroxyl molecule are capable to manipulate the surface band bending and the high-gain transport of photocarrier in this nanowire surface photoconductor. A molecular charge neutralization effect similar to surface passivation is proposed to the dramatic changes of surface electric field, carrier lifetime, and photocurrent response. The surface-active photoconductivity also demonstrates a novel photoenhanced sensing mechanism using GaN nanostructure with the molecule sensitivity which is near three orders of magnitude higher than direct detection of dark current. Engineering of surface band bending offers the opportunities for either controlling surface or improving bulk properties of nanostructures. A number of novel applications also benefit from the molecule-tunable photoconductivity, ranging from gas and chemical sensors, efficient photoelectric energy conversion, to high-gain and high-speed optoelectronic devices.
5:00 PM - LL17.3
High-speed and Ultra-sensitive ZnO Nanowire UV Photodetectors by Simple Chemical Vapor Deposition Method.
Yanbo Li 1 , Florent Della Valle 1 , Mathieu Simonet 1 , Ichiro Yamada 1 , Jean-Jacques Delaunay 1
1 Engineering Synthesis, The University of Tokyo, Tokyo Japan
Show AbstractThe potential of ZnO to develop UV optoelectronics is one of the major motivational factors behind the considerable research interest in this wide bandgap material. Due to the low cost, ease of fabrication, and large surface-to-volume ratio that may improve device performance, ZnO nanostructures have been extensively studied in recent years. Here, a low-cost, high-speed, and ultra-sensitive ZnO nanowire visible-blind UV photodetector is directly realized by a simple Chemical Vapor Deposition (CVD) method without resorting to any lithographic process. First, Au catalyst is patterned on a quartz substrate using a comb-shaped metal mask and, then, ZnO is grown on the patterned substrate by CVD. The Au-patterned areas, i.e., the pads and the fingers of the combs, are covered with a thick layer made of ZnO nanosheets and nanowires. The gaps between the comb fingers are bridged by many ultra-long (~100 microns) ZnO nanowires, which is thought to be responsible for the high performance in UV detection. Drastic changes in the I-V characteristics of the device when exposed to UV light from dark state were observed: a current increase by four orders of magnitude under a very low irradiance of 10 micro W/cm2 and by five orders under high irradiance. The time-resolved measurements of photoresponse to UV exposure reveal that both rise and decay are very fast, with a characteristic time less than 1 s. The device response was found to be spectral selective with nearly no response for wavelengths exceeding 380 nm, in agreement with the ZnO bandgap energy. The high performance of our device indicates that it may have potential application as a low-cost and high-efficiency UV photodetector.
5:15 PM - LL17.4
Silicon Nanowire Polarizers for Deep Ultraviolet Applications: Fabrication and Modeling.
John Papalia 1 2 , Young-Rae Hong 3 , Douglas Adamson 2 , Paul Chaikin 4 , Richard Register 1 2
1 Chemical Engineering, Princeton University, Princeton, New Jersey, United States, 2 Princeton Institute for the Science and Technology of Materials, Princeton University, Princeton, New Jersey, United States, 3 , Lawrence Berkeley National Laboratory, Berkeley, California, United States, 4 Physics, New York University, New York, New York, United States
Show AbstractWe have previously demonstrated a fabrication technique for the creation of silicon wire grid polarizers (WGPs) for deep ultraviolet (UV) applications utilizing a shear-aligned cylinder-forming polystyrene-b-poly(hexylmethacrylate) diblock copolymer as a mask for reactive ion etching of an amorphous silicon substrate. These Si WGPs showed marked improvement in efficiency at deep UV wavelengths over Al grid polarizers fabricated by a similar technique. We have recently enhanced the polarization efficiency of these WGPs by increasing the block copolymer molecular weight, therefore increasing the thickness of the Si wires, which compensates for a simultaneous increase in wire periodicity. The resulting arrays of parallel Si nanowires, supported on fused silica, have a periodicity of 53 nm, are large-area (ca. 2 cm2), and exhibit polarization efficiencies approaching 60% at 193 nm, a 50% relative increase over our previous Si WGPs. Experimental data are compared with numerical modeling of ideal WGP structures.
5:30 PM - LL17.5
Polarization-Sensitive Photocurrent Anisotropy and Responsivity of a Dielectrophoretically Aligned CdSe Nanowire Photodetector.
Rachel Rasmussen 1 , Grace (Huili) Xing 2
1 Chemistry and Biochemistry, University of Notre Dame, Notre Dame, Indiana, United States, 2 Electrical Engineering, University of Notre Dame, Notre Dame, Indiana, United States
Show AbstractSolution-grown semiconductor nanowires (NWs) are attractive for bottom-up device fabrication due to their inexpensive synthesis, scalability of production, and ease of placement onto any substrate without concern for lattice matching. Their large aspect ratio facilitates band transport and photoresponse anisotropy, making them ideal for polarization (pol.)-sensitive photodetector applications. We recently reported photocurrent (PC) anisotropy in dielectrophoresis (DEP)-aligned as well as random NW networks.[A. Singh, Nano Lett. (2007); Y. Yu, Nano Lett. (2008)] Surprisingly, comparable pol. anisotropy (~ 23%) was observed in both cases. The PC anisotropy in the random NW network is due to anisotropic absorption of photons and electrode orientation. In this study, we improved the DEP-alignment in a NW photodetector, resulting in a pol. anisotropy as high as 40% at 40 V. Laser-assisted AC DEP (~10,000 kV/cm, 1 kHz) was used to align CdSe NWs within minutes. Super-bandgap excitation generates carriers in the NWs, which increases the total NW dipole moment, thus inducing a stronger interaction with the electric field and enabling a quicker alignment.[R. Rasmussen, EMC (2007)]
We investigated photogenerated carrier lifetimes using time-dependent photoemission and transient absorption spectroscopy, NW quantum yield, and responsivity (current per unit incident power). We found the device responsivity to increase with decreasing excitation intensity, which can be explained by the classic trap model. Our results also show that the responsivity increases sharply between 0 and 10 kV/cm and then saturates. Responsivity dependence on electric field should reflect the drift velocity-field curve for band transport in CdSe; however, we see that the responsivity saturates at lower fields. Direct imaging of our device shows that the ~10 μm-long NWs overlap when bridging across the 25 μm gap between the electrodes, so interwire carrier hopping is expected. Comparing devices using decreasing electrode gaps, we are able to largely isolate its effect. Hopping increases the total transit time for carriers, leading to a reduced responsivity (proportional to the ratio of carrier lifetime to carrier transit time), so we suspect that the early saturation behavior of the responsivity indicates that carrier hopping plays a significant role in our device. This speculation may also explain the observed opposite trends of the pol.-sensitive anisotropy dependence on voltage under different laser intensities. Under low excitation intensity (~3 W/cm2), the PC anisotropy is highest at low voltages and decreases with increasing voltage. This trend reverses under intensity >10 W/cm2. We are able to model this phenomenon using a two-variable-resistor circuit. Together with the carrier relaxation observations, this study provides a rudimentary picture of the operation mechanisms in these solution-grown NW-based photodetectors and suggests directions for improvement.
LL18: Poster Session: Developments in Processing
Session Chairs
Friday AM, December 05, 2008
Exhibition Hall D (Hynes)
9:00 PM - LL18.1
Invariant Component Nano-growth (ICON): A Novel Method for the One-pot Synthesis of Complex Metal Oxide Nanowires.
Simon Hall 1 , Zoe Schnepp 1 , Stephen Mann 1 , Stuart Wimbush 2
1 , University of Bristol, Bristol United Kingdom, 2 Device Materials Group, University of Cambridge, Cambridge United Kingdom
Show AbstractThe recent explosion of interest in the world of nanotechnology is driven by the remarkably different properties many materials exhibit when reduced from the macro to the nano-scale. The promise of myriad applications has prompted research into numerous nanowire and nanoparticle systems of one or two components. However, in modern materials research and applications it is the exceptional properties of multicomponent ceramics that are attracting the most attention. While many of these complex metal oxides (CMOs) exist, there are few examples that have been developed into nano-scale structures, due to the difficulty of controlling undesirable side-reactions and achieving correct stoichiometry. We present here a simple, one-pot method for synthesizing nanowires of any multicomponent oxide system, based on the controlled nucleation of precursors within a sol-gel matrix. By controlling the initial nucleation of one crystalline component and preventing sintering of the resulting nanoparticles, we have discovered that it is possible to exploit the nanoparticles as catalysts for classical vapour-liquid-solid (VLS) or solid-liquid-solid (SLS) growth of complex-metal-oxide nanowires. As a demonstration of this theory, we have grown nanowires of a high-Tc YBCO superconductor.
9:00 PM - LL18.10
Synthesis of High Yield Germanium Nanowires via Vapor-liquid-solid (VLS) Process using Liquid Precursors.
Hyun Jae Song 1 , Hee Cheul Choi 1
1 Chemistry, Pohang University of Science and Technology, Pohang Korea (the Republic of)
Show Abstract Owing to its high carrier mobility and large Bohr radius, germanium is an attractive material for high speed electronics as well as for quantum devices. Since the first demonstration of Ge whisker growth by E. I. Givargizov in 1971, various growth techniques for the preparation of one dimensional Ge nanostructures were attempted, for example, vapor-liquid-solid (VLS), vapor transport, laser ablation, chemical vapor deposition (CVD), etc. Among these, VLS process has been considered as the best route to produce Ge nanowires in terms of growth efficiency. However, VLS process generally requires toxic germanium precursors such as germane (GeH4) or digemane (Ge2H6) gases in order to maximize their thermocatalytic reactivity. In this presentation, we report a well-controlled modified VLS synthetic method for the growth of high yield Ge nanowires using a less toxic liquid germanium precursor, GeCl4. Although the vapor phase precursor of GeCl4 was previously demonstrated, it has not been lucrative mainly because of the poor wetting property of Au-Ge alloy on solid substrates, especially on Si. We have recently succeeded growth of Ge nanowires on various substrates including Si by running GeCl4 in the atmospheric pressure CVD system. Electrolessly deposited Au nanoparticles formed on a H-terminated Si substrate were used as a catalyst to first nucleate vaporized SiCl4 to solve the wetting problem at the initial growth step. Successively introduced GeCl4 using an Ar carrier gas then grow Ge nanowires having a peculiar nanostructure, like a tadpole, which consists of nanowire body and nanocrystal head. Au seeds were not detected at nanocrystal region either at nanowire body at the EDAX resolution.
9:00 PM - LL18.12
Low Temperature Synthesis of Germanium Oxide Nanowires by Thermal Evaporation of Germanium in an Oxidizing Environment.
Muhammad Khan 1 , Muhammad Farhan 1 , Timothy Hogan 1 , Balasubramaniam Shanker 1
1 Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan, United States
Show Abstract9:00 PM - LL18.13
Size-Tunable Growth of ZnO Nanowires using a Reverse Eutectic VLS Growth Method.
Eric Petersen 1 , Edward Likovich 1 , Kasey Russell 1 , Venkatesh Narayanamurti 1
1 School of Engineering & Applied Sciences, Harvard University, Cambridge, Massachusetts, United States
Show AbstractVLS growth of nanowires [1] generally occurs in a low temperature eutectic system. For the case of ZnO, Au/Si is particularly desirable due to its deep eutectic point, of which the resulting melt serves as an absorption site for Zn vapor and subsequent growth site for ZnO nanowires in the presence of oxygen. In all VLS growth known to the authors, the Au/Si eutectic is formed by depositing Au on a Si wafer. We have demonstrated VLS growth from the reverse geometry - a Si film deposited onto Au coated metal foil. The significance of growing ZnO nanowires using this reverse eutectic (Si/Au instead of Au/Si) is two-fold. First, the diameter of lithographically deposited patches of Si can be uniformly reduced by self-limiting oxidative etching [2]. In this way, large arrays of very small growth sites (Si patches) were created without electron beam lithography, allowing for control over the resulting nanowire diameter. Second, the use of metal foil (Ti in this case) as the growth substrate could both enhance production throughput and reduce cost as compared with semiconductor wafer substrates. 1.R.S. Wagner and W.C. Ellis, Appl. Phys. Lett. 4, 89 (1964).2.H.I. Liu et al., J. Vac. Sci. Technol. B 11, 2532 (1993).
9:00 PM - LL18.14
Structural Characterization of Ion Implanted ZnO Nanowires.
Daniel Baptista 1 2 , Paulo Fernando Fichtner 2 , Jose Galvao 2 , Joao Wagner Oliveira 2 , Andre Luis Cauduro 2 , Sharvari Dalal 3 , William Milne 3 , Fernando Zawislak 2
1 Materials Metrology Division, INMETRO, Xerém - Duque de Caxias Brazil, 2 Institute of Physics, Federal University of Rio Grande do Sul, Porto Alegre Brazil, 3 Engineering Department, University of Cambridge, Cambridge United Kingdom
Show Abstract9:00 PM - LL18.15
Nanorods as a Precursor for High Quality GaN Layers.
David Cherns 1 , Ian Griffiths 1 , Somboon Khongphetsak 1 , Sergei Novikov 2 , Richard Campion 2 , Tom Foxon 2
1 Physics, University of Bristol, Bristol United Kingdom, 2 Physics and Astronomy, University of Nottingham, Nottingham United Kingdom
Show AbstractTwo of the major challenges in the production of GaN devices are to reduce the densities of threading dislocations, typically up to 109 – 1011 cm-2, generated by the use of highly mismatched substrates such as (0001)sapphire, and to reduce layer strains which can lead to cracking and non-uniform growth. In our work, we have made progress in both these areas by using the growth of GaN nanorods as a precursor for the growth of continuous GaN layers on (0001)sapphire. Films were grown by plasma-assisted molecular beam epitaxy (MBE) in a Varian ModGen II system. Firstly, following the deposition of a thin (typically 5nm) and mostly continuous AlN layer, GaN was grown under strongly N-rich conditions, favoring the growth of nanorods. The growth was then continued under less N-rich (so-called Ga-rich) conditions, favoring a period of lateral growth. The wafers were then investigated by transmission and scanning electron microscopy (TEM and SEM) using samples in cross-sectional orientation prepared by mechanical polishing followed by Ar-ion thinning in a Gatan PIPS. This showed that N-rich growth resulted in a bimodal morphology consisting of mostly defect-free Ga-polar nanorods about 50nm in diameter and several micrometers long growing out of a rough N-polar layer. Subsequent growth under Ga-rich conditions resulted in lateral growth near the tops of the nanorods, such that a continuous layer was eventually formed, but with air-gaps underneath. The resulting film contained threading defects which were predominantly introduced where nanorods coalesced. A defect analysis showed that the continuous GaN layer had total threading dislocation densities in the range 108 – 109 cm-2, up to two orders of magnitude less than in the precursor layer.The paper will examine how the introduction of the nanorod layer leads both to a reduction in the density of threading dislocations and relaxation of layer strains, and the optimum density, length and aspect ratio of the nanorods required for high quality films. This work was supported under a UK EPSRC grant EP/D080762/1
9:00 PM - LL18.16
Nanoparticle-functionalized Silicon Nanowires via Hydrogen Bonding Mediated Self-assembly.
Kai Huang 1 , Hadjar Benmansour 1 , Renaud Demadrille 1 , Peter Reiss 1 , Emmanuelle Rouviere 2
1 INAC/SPrAM/LEMOH, CEA, Grenoble, Grenoble France, 2 DRT/LITEN/DTNM/LCH, CEA, Grenoble, Grenoble France
Show Abstract9:00 PM - LL18.17
Fabrication of Size and Position Controlled GaAs Nanowires Using Anodic Alumina Assisted Etching of Porous GaAs Substrates as Templates.
Aloysius Gunawan 1 , Smita Jha 2 , Thomas Kuech 1 3
1 Materials Science Program, University of Wisconsin-Madison, Madison, Wisconsin, United States, 2 Department of Chemistry, University of Wisconsin-Madison, Madison, Wisconsin, United States, 3 Chemical and Biological Engineering, University of Wisconsin-Madison, Madison, Wisconsin, United States
Show AbstractArrays of well-defined position and size of GaAs nanowires were grown on n-type Si-doped GaAs(111)B substrates using vapor-liquid-solid (VLS) method. The patterned growth was achieved by first anodizing 450 nm evaporated aluminum film on the GaAs(111)B substrates in oxalic acid electrolyte at 40 volts forming regular cylindrical pores of 30 nm diameter and 150 nm interpore spacing. The pattern in this alumina layer was transferred to the GaAs substrates by means of anodic etching. This occurred after the complete formation of anodized alumina leading to an ordered pattern of holes in the GaAs. Subsequent removal of the alumina layer produced GaAs(111)B substrates with patterned nanocraters (or nanopores) on the GaAs surface for the templates of growing nanowires. Gold seed layer was evaporated at 2 nm thickness on the nanopatterned GaAs(111)B substrates. The GaAs nanowires were grown using metal organic vapor phase epitaxy (MOVPE) at 450o C with trimethylgallium (TMGa) and arsine (AsH3) as the group III and V precursors respectively. The corresponding V/III molar ratio was 75. During the heat-up process, the gold film was shown to form gold nanoparticles by means of surface mobility of the Au on the GaAs surface that would subsequently serve as the catalysts for the nanowires. Gold deposition on bare GaAs(111)B substrates in the absence of this nanopatterning formed gold nanoparticles with size distributions ranging from 10 – 50 nm randomly located on the surface. For nanopatterned GaAs(111)B substrates, the size distribution of gold nanoparticles was narrowed to about 30-40 nm in diameter. The nanopatterns on the substrates assist in localizing gold nanoparticles during annealing process. The resulting diameters and length of the as-grown GaAs nanowires were 35 and 150 nm respectively, implying that the uniform size and position of the gold catalysts were maintained during the nanowire growth. In addition to single GaAs nanowires, GaAs/InGaAs/GaAs nanowire heterostructures were also grown using the same procedure. The diameter for the nanowire heterostructures was 30 – 40 nm, which is similar to that of single GaAs nanowires. Photoluminescence (PL) spectra were obtained at 30 K for both the single GaAs and GaAs/InxGa1-xAs/GaAs nanowire heterostructures. Both the single GaAs and GaAs/InGaAs/GaAs nanowire heterostructures were capped with AlGaAs layer grown at 650o C to passivate the surface. This capping decreases surface recombination on nanowires and increases the PL intensity. The presence of InGaAs quantum well was confirmed by the peak from PL at 1.356 eV that corresponds to ~10% In composition.
9:00 PM - LL18.18
Electrospinning of Metal Oxide Nanofibers for Gas Sensing Applications.
Osnat Landau 1 , Avner Rothschild 1 , Eyal Zussman 2
1 Materials Engineering, Technion, Haifa Israel, 2 Mechanical Engineering, Technion, Haifa Israel
Show AbstractGiven their simple device structure and operation, remarkable stability and high sensitivity, chemoresistors based on nanostructured semiconducting metal-oxides such as SnO2 and TiO2 are used as gas sensors for various applications. In this work we report on metal oxide gas sensors produced by novel sol gel and electrospinning techniques.[1] Titanium-propoxide/poly (vinyl acetate) composite nanofiber mats were electrospun directly onto Si substrates fitted with interdigitated Au electrode arrays. Following the electrospinning process the samples were hot pressed to improve adhesion to the substrate and subsequently calcined at temperatures between 500 and 800°C to remove the organic components and transform the Ti precursor to crystalline TiO2. Different nanostructured morphologies were produced by controlling the electrospinning process parameters (e.g., solution viscosity, applied voltage, solvent evaporation, etc.) and the conditions of the subsequent hot pressing and calcination processes. The resultant sensor morphologies, microstructure, and chemical composition were characterized by means of high-resolution SEM, XRD, Raman spectroscopy, XPS and FTIR, and their gas sensing properties were examined under exposure to traces of NO2, SO2, CO, H2, or NH3 in dry air at temperatures between 200 and 400°C. The sensors displayed reversible, fast, and remarkably sensitive response to these gases, especially to NO2 and CO, demonstrating detection limits in the single ppb level. The correlation between processing conditions, microstructure, and sensor properties will be discussed.(1)I. D. Kim, A. Rothschild, B. G. Lee, D. Y. Kim, S. M. Jo, and H. L. Tuller, Nano Letters 6 (2006) 2009-2013.
9:00 PM - LL18.19
Si Nanowire Field-effect Transistors Fabricated by Jet Printing.
William Wong 1 , Pengfei Qi 1 , Brent Krusor 1 , Rene Lujan 1 , Robert Street 1
1 Electronic Materials and Devices Laboratory, Palo Alto Research Center, Palo Alto, California, United States
Show AbstractThe development of inexpensive high-performance electronics requiring low-temperature device processing would enable low-cost, large-area flexible electronics for applications such as large-area displays, sensors, and evolving technologies such as electric paper. The spatial resolution and small drop volume of jet-printing methods, combined solution processable nano-scale materials such as Si nanowires, is one approach for integrating high performance semiconductor materials with low cost processing technologies. An ink-jet patterning method, in which an electronically generated and digitally aligned pattern, was used to print a Si nanowire solution onto a predefined patterned surface. The size and shape of the printed drop was controlled using surface energy modulation. The high-resolution spatial alignment of the digital printing process is ideal for registering and placing the nanowire solution over patterned surfaces down to drop sizes of 40 microns. The printing method was then use to integrate the solution processable nanowires to fabricate filed effect transistors. These transistors had an extracted linear mobility of ~ 19cm^2/Vs and an on/off ratio of ~ 1000. Analysis of the surface conditions on the print quality and subsequent device performance will also be discussed.
9:00 PM - LL18.2
In-Fiber Semiconductor Filament Arrays.
Daosheng Deng 1 2 , Nick Orf 1 2 , Ayman Abouraddy 1 , Yoel Fink 1 2
1 Research Laboratory of Electronics, MIT, Cambridge, Massachusetts, United States, 2 Department of Materials Science and Engineering, MIT, Cambridge, Massachusetts, United States
Show Abstract9:00 PM - LL18.20
Ion Beam Alignment of Nanowires.
Raphael Niepelt 1 , Christian Borschel 1 , Christoph Gutsche 2 , Ingo Regolin 2 , Werner Prost 2 , Franz Tegude 2 , Daniel Stichtenoth 3 , Carsten Ronning 1
1 Institute for Solid State Physics, University of Jena, Jena Germany, 2 Institute for Semiconductor Technology, University of Duisburg-Essen, Duisburg Germany, 3 II. Institute of Physics, University of Göttingen, Göttingen Germany
Show AbstractGallium arsenide (GaAs) nanowires were grown on top of <100> GaAs substrates, adopting the epitaxial relation and thus growing with an angle around 35° off the substrate surface. These perfect straight nanowires were irradiated with different kind of energetic ions ranging from 20 to 400 keV. Depending on ion species, ion energy and ion fluence, we observed that the nanowires bended either down towards the surface or upwards, where the intensity of the bending increased with increasing ion fluence. In the case of upwards bending, achieved with a box-like Zn or S implantation profile, the nanowires aligned towards the ion beam direction for fluences above about 10^15 cm-2. We will discuss in our presentation the different key mechanisms during the ion beam process resulting into the bending and present routes for a controlled way of geometrical alignment and manipulation of nanowires after growth using ion beams. This technique combined with successive growth steps may be suitable in order to realize the industrial important issue of upstanding nanowires on top of <100> substrates.
9:00 PM - LL18.21
Self-assembled ZnO Nanostructures on Different Substrates using the Pulsed Laser Deposition Technique.
Boqian Yang 1 , Peterxian Feng 1
1 Physics Department, University of Puerto Rico, San Juan, Puerto Rico, United States
Show Abstract9:00 PM - LL18.22
Dispersion and Self-Assembly of Semiconductor Nanowires.
Michael Wang 1 , Byron Gates 1
1 Department of Chemistry, Simon Fraser University, Burnaby, British Columbia, Canada
Show Abstract9:00 PM - LL18.24
Nanostructure Fabrication through Selective Epitaxial Growth of SiGe on Self-Assembled Nanotemplates using Ultrahigh Vacuum Chemical Vapor Deposition.
Sang-Joon Park 1 , Jong-Yeog Son 1 , Hyungjun Kim 1
1 Department of Materials Science and Engineering, Pohang University of Science and Technology, Pohang-Si, Gyungbuk Korea (the Republic of)
Show AbstractNanostuctures such as nanodot and nanowire have been extensively studied as building blocks for nanoscale devices. However, the direct growth of the nanostuctures at the desired position is one of the most important requirements for realization of the practical devices with high integrity. Self-assembled nanotemplate is one of viable methods to produce highly-ordered nanostructures because it exhibits the highly ordered nanometer-sized pattern without resorting to lithography techniques. And selective epitaxial growth (SEG) can be a proper method for nanostructure fabrication because selective growth on the patterned openings of nanotemplate can be a proper direction to achieve high level of control and reproducibility of nanostructucture fabrication. Especially, SiGe has led to the development of semiconductor devices in which the band structure is varied by the composition and strain distribution, and nanostructures of SiGe has represented new class of devices such nanowire metal-oxide-semiconductor field-effect transistors and quantum dot infrared photodetectors. So, in this study, SiGe nanodots were selectively grown epitaxially on Si substrate through ultrahigh vacuum chemical vapor deposition (UHV-CVD) of SiGe on anodic aluminum oxide (AAO) nanotemplate. AAO was fabricated directly on Si through anodization of Al film thermally evaporated on Si substrate and showed hexagonally arranged nanohole arrays. In order to enhance the hole-ordering, multi-step anodization was adopted. Si openings were formed at the bottom of nanohole after removing the barrier layer at the bottom of nanohole through BCl3 plasma etching. UHV-CVD was carried out to grow SiGe selectively on the exposed Si openings. Si2H6, GeH4, and Cl2 gas were used for SEG of SiGe on Si. Cl2 gas was introduced to the reaction chamber separately from Si2H6 and GeH4 to keep the SiGe growth on AAO. Morphology, microstructure, and composition were analyzed by AFM, SEM, STEM, and EDS. These results represent novel root for SiGe nanostructure fabrication.
9:00 PM - LL18.25
Graffting of Organic Monolayers on Silicon Nanowires.
Kaoru Kajiwara 1 , Masato Ara 2 , Hirokazu Tada 1
1 , Division of Materials Physics, Graduate school of Engineering Science, Toyonaka Japan, 2 , Organization for the Promotion of Research on Nanoscience and Nanotechnology, Toyonaka Japan
Show Abstract9:00 PM - LL18.3
Surface Chemistry of Non-Oxidized, Molecule-Terminated Silicon Nanowires: Monolayer Formation, Kinetics, and Oxidation Resistance.
Muhammad Bashouti 1 , Ossama Assad 1 , Yair Paska 1 , Andreas Berger 3 , Thomas Stelzner 2 , Silke Christiansen 3 , Hossam Haick 1
1 Chemical Engineering, Technion-Israel Institute of Technology, Haifa Israel, 3 , Max-Planck-Institute of Mikrostructure Physics, Weinber Germany, 2 , Institute of Photonic Technology e.V, Jena Germany
Show Abstract9:00 PM - LL18.4
Density-Controlled, Solution-Based Growth of ZnO Nanorod Arrays for Enhanced Field Emission.
Benjamin Weintraub 1 , Sehoon Chang 3 , Srikanth Singamaneni 3 , Won Hee Han 4 , Young Jin Choi 4 , Joonho Bae 1 , Melanie Kirkham 1 , Vladimir Tsukruk 3 1 , Yulin Deng 2
1 School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 3 School of Polymer, Textile and Fiber Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States, 4 Department of Physics and Department of Nano Science and Engineering, Myongji University, Yongin, Gyeonggi, Korea (the Republic of), 2 School of Chemical and Biomolecular Engineering, Georgia Institute of Technology, Atlanta, Georgia, United States
Show AbstractIn this study, ZnO nanorod arrays with controlled density were synthesized using a wet chemical method at low temperatures. The nanorod density was controlled by a polymer thin film pre-coated on the substrate. By varying the polymer thin film thickness, the diffusion rate of the reactants to the substrate and the density of ZnO nanorods were controlled. This method is simple, scalable, and cost-effective and can be used to synthesize nanorod arrays on flexible substrates at low temperatures. The field emission results reveal the highest field enhancement factor in the literature for solution grown nanorod arrays. Thus, the technology developed in this study can be used potentially for preparing flat panel displays.
9:00 PM - LL18.5
Synthesis of Alpha Monoclinic Selenium Nanowires.
Willinton Farfan 1 2 , Edgar Mosquera Vargas 1 2 , Rajasekarakumar Vadapoo 1 2 , Sridevi Krishnan 1 2 , Carlos Marin 1 2
1 Fisica, Universidad de Puerto Rico, San Juan, Puerto Rico, United States, 2 , Institute for Functional Nanomaterials, San Juan, Puerto Rico, United States
Show Abstract9:00 PM - LL18.6
Modeling of the Oxidation of Si Suspended Stacked Silicon Nano-wires Down to 4nm.
Caroline Bonafos 1 , Alexandre Hubert 2 , Thomas Ernst 2 , Marc Respaud 3
1 nMat group, CEMES-CNRS, Toulouse France, 2 , CEA-LETI, Minatec, Grenoble France, 3 , LPCNO, INSA, Toulouse France
Show Abstract9:00 PM - LL18.7
Room-Temperature Texture-Controlled Growth of ZnO Thin Films and Their Application for Growing Aligned ZnO Nanowire Arrays.
Jung-Il Hong 1 , Joonho Bae 1 , Zhong Lin Wang 1 , Robert Snyder 1
1 Materials science and engineering, Georgia Institute of Technology, atlanta, Georgia, United States
Show AbstractTexture controlled growth of ZnO films on substrates of general materials at room temperature was demonstrated by pulsed laser deposition (PLD). The texture of the film changed progressively from (001) to (110) to (100) as the laser fluence increased from 2 J/cm2 up to 45 J/cm2. The textured films then were used as seed layers for growing aligned ZnO nanowire arrays with controlled orientation, which form an epitaxial orientation relationship with the seed layer. This research shows possibility of low-cost, large-area growth of ZnO nanowire arrays with controlled orientation on a substrate of any type of material.
9:00 PM - LL18.8
Controlling Growth Direction and Location of Nanowires to Enable use of Conventional Lithography for MOSFET Fabrication.
Nate Quitoriano 1 , Ted Kamins 1
1 , HP Laboratories, Palo Alto, California, United States
Show AbstractSemiconducting nanowires (NWs) have promising properties that allow their potential use for electronic, optical, and sensing applications. Despite these properties, significant problems in controlling their location and integrating them into a practical device have limited their widespread usage. To date, most work demonstrating their promising properties has focused on growing the NWs on one substrate, removing them and then placing them on another substrate to connect them to electrodes. This fabrication method is largely random, though some progress in controlling their location has been made. Alternatively, the nanowires can be grown vertically on a properly aligned substrate or horizontally above a surface to bridge between two electrodes; however, processing the resulting non-planar structures is difficult. Here we report a structure with controlled nanowire location and growth direction which we use to demonstrate top-gated, metal oxide semiconductor field-effect transistors (MOSFETs) that can be patterned using conventional lithography. The NWs were engineered to grow against an oxide surface of a (001) silicon-on-insulator (SOI) substrate, allowing straightforward subsequent MOSFET fabrication. Trenches were cut into the top Si layer of the SOI substrate. Some of the buried oxide layer was removed isotropically, undercutting the top Si layer. NWs were selectively nucleated from this undercut region and grew against the oxide in a <110>-type direction—the projection of a <111>-type direction on the (001) plane. These NWs bridged between two Si contacts; these contacts were subsequently used as the source and drain of the MOSFET exhibiting an Ion/Ioff ratio ~10,000 and a subthreshold slope of ~150 mV/decade. Using this configuration to grow NWs in a predefined location in contact with the surface makes the NWs more robust to further processing and can enable the integration of multiple NW devices for logic and other applications. In addition, this configuration could be used as a chemical, field-effect sensor biased using a back gate into the sensitive subthreshold slope region, where current is a strong function of applied voltage. This structure and method of growing integrated and readily processed nanowires helps realize the full promise of semiconducting NWs.
9:00 PM - LL18.9
Self-Aligned <110> Planar GaAs Nanowires for Low Twin Density and High Integratability.
Seth Fortuna 1 , Jianguo Wen 2 , Xiuling Li 1
1 Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States, 2 Frederick-Seitz Materials Research Laboratory, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States
Show AbstractSemiconductor nanowires have been extensively studied in the past decade for applications in nanoelectronics and nanophotonics. However, wafer-scale integration with current planar processing technology remains a challenge for the commonly grown out of plane nanowire geometry. Ex-situ assembly methods are required to transfer and coarsely align the nanowires in plane on a functional device substrate, but without the control of the individual nanowire positions on a wafer-scale. For III-V semiconductor nanowires, the abundance of twin-plane defects has been widely reported, which degrade the optical and electronic properties. Here we show a method for controlled growth of <110> planar GaAs nanowires on GaAs (100) substrates that have dramatically reduced twin defect density and are naturally suited for integration. The growth mechanism should apply to other types of III-V semiconductor nanowires. Nanowires were grown with atmospheric pressure metalorganic chemical vapor deposition (MOCVD) and catalyzed with deposited gold (Au) colloid nanoparticles. The planar nanowires are self-aligned in plane in either the [1-10] or [-110] direction axially, and the radial cross-section appears to be in a half-cylindrical shape. A temperature window of 470±10°C has been identified as the optimum range for the growth of uniform planar nanowires with as high as 90% yield. At higher temperatures, the nanowires are still oriented in <110> direction but with distinct tapered shape from increased radial sidewall growth; whereas at lower temperatures, yield of planar nanowires drops considerably and mostly <111> nanowires are observed (angled 35.3° from the substrate). The growth mechanism will be analyzed in detail. Transmission electron microscopy (TEM) confirms that the <110> planar nanowires are zinc-blende and consist of very few twin defects ( ~ 3 for a 4 µm long nanowire). Examination of the interface between the nanowire and substrate (along the nanowire axial direction) clearly shows that the nanowire extends the substrate epitaxially. I-V measurements have been obtained and detailed electrical transport properties of intentionally doped nanowires will be reported, along with optical characterization.In contrast to vertically grown <111> nanowires, as grown planar nanowires are well-suited for integration because of the compatibility with existing semiconductor processing technology. In addition, the planar nanowires can be released from the native substrate while maintaining the alignment. This is achieved by growing GaAs planar nanowires on an AlxGa1-xAs (x >= 0.5) sacrificial layer in situ followed by the selective removal of the AlxGa1-xAs layer. Results will be demonstrated. The released GaAs nanowires can be readily transfer-printed to foreign substrates, opening up the possibility of true nanometer scale nanoelectronic and nanophotonic device integration on unconventional substrates.
LL19: Poster Session: Characterization: Optical & Magnetic Properties
Session Chairs
Friday AM, December 05, 2008
Exhibition Hall D (Hynes)
9:00 PM - LL19.1
Argonne's Center for Nanoscale Materials: A Resource for Nanowire Research.
Kathleen Carrado 1 , Stephen Streiffer 1
1 Center for Nanoscale Materials, Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractThe Center for Nanoscale Materials (CNM) at Argonne National Laboratory is a Dept. of Energy national user facility that provides capabilities explicitly tailored to the creation and characterization of new functional materials on the nanoscale. Synthetic techniques include, for example, hierarchical assembly using bottom-up polymeric and bio-templating, core-shell colloidal nanoparticle synthesis, peptide/DNA biosynthesis methods, complex oxide MBE, and PECVD nanocrystalline diamond. Top-down approaches include lithographically assisted patterning of hybrid structures, chemical and biological functionalization, electron beam lithography, focused ion beams, and nanoimprint patterning methods.A wide array of proximal probes is available for characterizing the nanoscale assemblies including scanning probe tunneling and atomic force microscopy capabilities for advanced surface, interface, and magnetic analysis, as well as near-field scanning optical microscopy. A dedicated hard x-ray microscopy beamline is operated in partnership with the Advanced Photon Source to provide fluorescence, diffraction, and transmission imaging with a spatial resolution of 30 nm or better.Finally, computational nanoscience is integral to the Center for providing theory and multiscale computer simulations for interpretive and predictive frameworks for understanding fundamental studies, as well as aiding the design of new nanoscale functional systems. A supercomputer accommodating highly parallel compute-intensive applications has a compute capacity of 10 TFlops.The CNM operates under the umbrella of six primary areas of expertise that contribute unique aspects to either the synthesis of nanomaterials or their characterization:a)Electronic & Magnetic Materials & Devicesb)Nanobio Interfacesc)Nanofabricationd)Nanophotonicse)Theory & Modelingf)X-ray MicroscopySome recent examples include the surfactantless synthesis of dense, pristine metal nanoplates with well-controlled dimensions on semiconductor substrates, elastic membranes of close-packed nanoparticle arrays, and electrodeposition of Pd nanoparticles on single-walled carbon nanotubes.The CNM supports an open-access, peer-reviewed user program that is open to the academic, industrial, government, and international communities.Acknowledgment: Use of the Center for Nanoscale Materials is supported by the U. S. Department of Energy, Office of Science, Office of Basic Energy Sciences, under Contract No. DE-AC02-06CH11357.
9:00 PM - LL19.10
Thermochromic Phase Transition in Tungsten Trioxide Nanowires by using Raman Spectroscopy.
Jian Chen 1 2 , Dong Yu Lu 2 , Weiguang Xie 1 , Kun Xue 1 , Jianbin Xu 1
1 Department of Electronic Engineering, The Chinese University of Hong Kong, Hong Kong China, 2 Sun Yat-sen (Zhongshan) University, Instrumentation Analysis and Research Center, Guangzhou, Guangdong, China
Show AbstractTungsten trioxide (WO3) nanowires were synthesized by thermal evaporation of tungsten powder in two steps: tungsten suboxide nanowires (WO3-x) were synthesized, and then WO3-x nanowires were reoxidized in O2 ambient and transformed into WO3 nanowires. Raman spectroscopy was applied to study thermochromic phase transition of one–dimensional WO3 nanowires. From the temperature dependence of the characteristic mode at 33 cm-1 in WO3, the phase transition temperature was determined. WO3 nanowires start to transform reversibly into the γ phase at below 190 K instead of 300 K for bulk WO3 and to the β phase at below 500 K instead of 623 K in bulk oxide. The reason for the transition at lower temperatures is owing to the high surface energy of the nanowires. The phase transition temperature of WO3 one-dimensional nanostructures is even lower than that of zero-dimensional WO3 nanostructures, which indicates that WO3 nanowires as thermochromic devices have more promising potential future.
9:00 PM - LL19.11
Significantly Enhanced Optical Properties of Solution-Grown ZnO Nanorods by using Two-Step Treatment Method.
Le Quang 1 , Soo Jin Chua 1
1 material growth, Institute of Materials Research and Engineering, A*star, Singapore Singapore
Show AbstractZnO nanorods with good optical properties were synthesized on GaN film by combining the low-temperature growth aqueous solution method and the two-step post-growth treatment method thermal annealing and nitrogen plasma treatment. The band-edge photoluminescence spectra show significant changes due to nitrogen plasma treatment The photoluminescence results at room temperature showed that the nitrogen plasma treated ZnO nanorods exhibited a strong UV emission peak with negligible deep level emission peak compared to that of non-plasma-treated samples. The plasma-treated nanorods also exhibited strong stimulated emission with a threshold of 4.16 MWcm-2 at room temperature. No stimulated emission was observed in the as-grown and thermal annealed nanorods. The ultraviolet-visible micro-Raman scattering has been used to study the influence of nitrogen incorporation in ZnO nanorods grown on GaN/sapphire templates.. In addition, visible Raman spectra show intensity enhancement of the disorder-activated vibrational modes from plasma-treated ZnO nanorods. Multiple longitudinal optical LO phonons are observed under ultraviolet resonant Raman excitation from these nanorods. The first-order resonant LO phonon line shape fitting is correlated to the nitrogen-induced lattice disorder. The X-ray photoelectron spectroscopy also confirmed the incorporation of nitrogen ions into the ZnO nanorods. These ions may diffuse through surface adsorption or defect routes. In addition, nitrogen incorporation also offers a prospective way to produce ZnO nanorods with p-type conductivity or compensate the n-type conductivity
9:00 PM - LL19.13
Enhanced Near-band-edge Emission in ZnO/ Al2O3 Core–shell Nanorods.
Cheng-Ying Chen 1 , Chin-An Lin 1 , Jr-Hau He 1
1 Department of Electrical Engineering, Graduate Institute of Photonics and Optoelectronics, National Taiwan University, Taipei 10617 Taiwan
Show AbstractHybrid of nanomaterials is an effective method to combine the features of different nanomaterials and tailor the properties to achieve the desired material performance, which is used for various applications, such as photodectector [1], and gas sensing [2], and UV emitter [3, 4]. With paying more attention to ZnO nanostructure-based optoelectronics-devices, the focus of research is recently moving to more complex nanowire assemblies, such as nanowire-based core-shell structures [3, 5-6].In this work, we reported the chemical method, advantageous for low reaction temperature, low cost, minimum equipment requirement, atmospheric pressure and product homogeneity, to fabricate the wafer-size ZnO/Al2O3 nanorod (NR) arrays. First, ZnO NR arrays with the length of 3-4 μm and the diameter of 100nm were fabricated on the Si wafer at 90 oC by hydrothermal method. Al2O3 shell layers were synthesized using spin coating of 5 mM AlCl3 and annealing at 220 oC. The structural characterization of ZnO/Al2O3 arrays was performed using field emission scanning electron microscope, x-ray diffraction and scanning transmission electron microscope, coupled with high angle annular dark field and line-scan of energy dispersive X-ray analysis. Finally we performed photoluminescence (PL) measurements at room temperature on ZnO/Al2O3 core-shell NRs by an excitation source of He-Cd laser. The drastic increase in the ratio of near-band-edge emission (NBE) to deep-level emission (DLE) density in ZnO NR arrays was observed due to the addition of Al2O3 shell.To clarify the mechanism of the enhancement of NBE and the reduction of DLE, the dependence of thickness of Al2O3 shell on the PL properties of ZnO was investigated. It was found that the intensity of NBE from the ZnO nanowires is enhanced with increasing the thickness of the Al2O3 shell from 5 nm to 20 nm. We deduced that this optical phenomenon results from the different bend degree of energy band bending near the interface of ZnO/Al2O3 and that of Al2O3 /Air. The different energy band bending formed the different strength of electrical field in the ZnO core and the different confinement of photocarrier in the core, which further caused the overlap of the wavefunctions of electrons and holes near band-edge of ZnO. This is an important bandgap engineering of NRs to modify the optical properties.References[1] He, J. H.; Ho, S. T.; Wu, T. B.; Chen, L. J.; Wang, Z. L., 2007 Chem. Phys. Lett., 435, 119-122.[2] He J H, Lin Y H, McConney M E, Tsukruk V V, Wang Z L and Bao G 2007 J. Appl. Phys. 102 084303[3] J-P Richters, T Voss, D SKim, R Scholz and M Zacharias, 2008 Nanotech. 19 305202[4] S. Z. Li, C. L. Gan, H. Cai, C. L. Yuan, J. Guo, P. S. Lee, and J. Ma, 2007 Appl. Phys. Lett. 90, 263106 [5] Beek W, Wienk M and Janssen R, 2004 Adv. Mater. 16 1009[6] Richters J-P, Voss T, Wischmeier L, R uckmann I and Gutowski J 2008 Appl. Phys. Lett. 92 011103
9:00 PM - LL19.15
Nanoscale Guiding and Imaging of Photons using and Array of Metal and Semiconductor Nanowires in Microstructured Optical Fibers.
Mahesh Krishnamurthi 1 , Neil Baril 2 , John Badding 2 , Venkatraman Gopalan 1
1 Material Science and Engineering, Penn State University, State College, Pennsylvania, United States, 2 Department of Chemistry, Penn State University, State College, Pennsylvania, United States
Show Abstract9:00 PM - LL19.16
Structural and Optical Properties of Pseudobinary Wurtzite Alloy Nanowires.
S. Joon Kwon 1 2 , Young-Jin Choi 1 , Kyoung-Jin Choi 1 , Dong-Wan Kim 1 , Jae-Gwan Park 1
1 Nano Sciene Division, Korea Institute of Science and Technology, Seoul Korea (the Republic of), 2 Department of Chemical Engineering, Massachusetts Institute of Technology(MIT), Cambridge, Massachusetts, United States
Show AbstractWe studied the structural and optical properties of pseudobinary wurtzite alloy nanowires. Two different wurtzite alloy nanowires such as CdSxSe1-x and ZnSxSe1-x (0≤ x ≤1) synthesized were by pulsed laser deposition (PLD), and the synthesized nanowires commonly had diameters ranging from 50 to 200 nm and lengths of about 100 μm. Experimentally, both the lattice constants and the unit cell volumes of the two alloy nanowires were linearly correlated with the composition, therefore satisfying Vegard’s law. Moreover, we found that the lowest direct optical band gaps of these single-crystalline and straightly grown alloy nanowires were commonly found to scale linearly with the composition, as in the case of the mean lattice constant of the hexagonal wurtzite crystalline nanowires. In contrast to the well-known optical band gap bowing of semiconductor alloys, the CdSxSe1-x and ZnSxSe1-x alloy nanowires did not exhibit such bowing. The relaxation of the effective strain resulted in the disappearance of the maximum value of the short-range order parameter of the nanowires at , which yielded a reduction in the intrinsic band gap bowing parameter. We also observed that the intensity of the photoluminescence resulting from the band gap edge emission of the nanowires increases with increasing , which was theoretically explained by assuming that the diffusion depth for the surface-recombination satisfies the concentration-weighted average. Band gaps of the synthesized alloy nanowires can cover a wide range of UV and visible wave between 1.75 and 3.50 eV, and in this spectral region, the synthesize pseudobinary wurtzite alloy nanowires can be applied to optoelectronic devices such as photo-sensors to cover a broad band of electromagnetic radiation.
9:00 PM - LL19.18
Synthesis, Structural Characterization and Luminescence Properties of Cobalt Oxide Nanostructures: From Nanowalls to Branched Nanowires.
Carlos Diaz-Guerra 1 , Francisco Galan 1 , Javier Piqueras 1
1 Física de Materiales, Universidad Complutense. Facultad de Físicas, Madrid Spain
Show Abstract9:00 PM - LL19.19
The Role of Doping in the Morphology and Optical Properties of Germanium Oxide Nanowires.
Pedro Hidalgo 1 , Bianchi Mendez 1 , Javier Piqueras 1
1 Fisica de Materiales, Universidad Complutense de Madrid, Madrid Spain
Show AbstractSemiconductor oxides nanowires are promising building blocks to be integrated in photonic devices [1,2]. In this scenario, nanowires may play different roles such as light emitters, waveguides or light detectors. Germanium oxide (GeO2) is a versatile semiconductor with a rather high quantum efficiency and good waveguiding properties [3,4]. The use of GeO2 nanowires in the future optical devices requires a control of their morphology, including the effect of doping, and a deep knowledge of their physical properties. In this work, GeO2 nanowires doped with Sn, Mn or codoped with both elements have been grown by using a compacted mixture of Ge and SnO2 or Mn2O3 powders as precursor materials. The pellet is submitted to a thermal process and GeO2 nanowires grow on the surface of the same pellet without the need of any catalyst. The doped wires have been characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM), cathodoluminescence (CL) in SEM, transmission electron microscopy (TEM) and energy dispersive spectroscopy (EDS). Waveguide behavior of the wires was studied by illumination with visible light of different wavelengths.Undoped GeO2 nanowires have four-sided cross-section with typical dimensions in the range 600 nm to 1 μm, and frequent sharp bends along their path. In the case of Sn doping, the presence of Sn causes a reduction of the cross-sectional dimensions of the wires to 100-150 nm and prevents the formation of these bends, even for very low Sn concentration. By increasing the amount of Sn, a sharp cross-section reduction, from about 700-900 nm to 80-200 nm, takes place at a certain position of the growth axis in a high number of wires. The addition of Mn to Sn doped wires also develops nanowires with two specific cross-sections. SEM micrographs reveal that the incorporation of Mn affects the growth kinetics leading to a less number of wires on the pellet, which enables us to study the initial stages of the growth process. The addition of impurities modifies the luminescence features of GeO2 nanowires. In particular, a novel infrared band is detected in the case of Sn doped GeO2 nanowires, which is not observed in the other cases. For Mn doping, the main blue-green luminescence band can be resolved into several components. The above results reveal the importance of a suitable choice of the doping element in order to achieve the desired morphology and the required optical properties.1 Z. W. Pan, Z. R. Dai and Z. L. Wang, Science 291, 1947 (2001)2 M. Law, D. J. Sirbuly, J. C. Johnson, J. Goldberger, R. J. Saykally and P. Yang, Science 305, 1269 (2004)3 P. Hidalgo, B. Méndez and J. Piqueras, Nanotechnology, 16, 2521 (2005)4 P. Hidalgo, B. Méndez and J. Piqueras, Nanotechnology, 18, 155203 (2007)
9:00 PM - LL19.2
Nanowire Research at the Center for Integrated Nanotechnologies.
S. Picraux 1 , J. Hollingsworth 1
1 Center for Integrated Nanotechnologies, Los Alamos National Laboratory, Los Alamos, New Mexico, United States
Show Abstract9:00 PM - LL19.21
Novel Inorganic DC Lateral Thin Film Electroluminescent Devices Composed of ZnO Nanorods and ZnS Phosphor.
Tomomasa Satoh 1 , Yuki Matsuzawa 1 , Hiroaki Koishikawa 1 , Takashi Hirate 1
1 Faculty of Engineering, Kanagawa University, Yokohama Japan
Show Abstract A conventional inorganic thin-film electroluminescent (TFEL) device structure has a thin-film stack that consisted of a phosphor layer sandwiched between two insulators. The EL device has the huge drawbacks of AC and high driving voltage. In order to overcome these drawbacks, we have developed a novel DC-TFEL device with a stable and low voltage driving. This DC-TFEL device utilizes a local field enhancement effect generated by the geometry of 1-D conductive nanorods. The DCEL device has the composite layer in which conductive nanorods are vertically embedded into an EL phosphor material. Contrary to the conventional TFEL device, the driving voltage of the DC-TFEL device is laterally applied to the composite layer including an EL phosphor material via two side electrodes. The required high electric field (~2*106V/cm) of the EL phosphor materials to emit light is expected to be obtained at the local region adjacent to the side surface of the nonorods. Moreover, the stable voltage operation is expected to be obtained by the network of the current path between nanorods. Although the distance between electrodes of a conventional TFEL device is about 1 um, that of the DC-TFEL device is available up to several mm. This is a first report on the DC-TFEL device. In this work, the structure and the fabrication of the DC-TFEL device were as follows. Firstly, two Au electrodes were deposited on a glass substrate by a thermal evaporation method using a mask. The size was 3 mm × 3mm, and thickness was 200 nm. The spacing between the electrodes was 3mm. Then, Al-doped ZnO nanorods as the conductive nanorods were synthesized on both the Au electrodes and the spacing by a low pressure thermal CVD method combined with a laser ablation. The CVD precursors to synthesize ZnO were metal Zn vapor and O2. Al doping was performed by the laser-ablation of Al2O3 during the CVD growth of ZnO nanorods. The obtained ZnO nanorods had vertically aligned on the substrate and well-separated to each other. The diameter, length, and density were ~80 nm, ~1.5 um, and ~13 /um2, respectively. Then, ZnS:Mn as an EL phosphor material was successfully deposited by an electron beam deposition method. A moiety of the ZnS:Mn penetrated into the space between ZnO nanorods. As a result, the laterally continued composite layer that is comprised of ZnS:Mn and ZnO nanorods was formed at the upper region of the nonorods. The thickness of the composite layer was about 0.5 um. The electrical and luminescent characteristics of the DC-TFEL device were measured with DC voltage in the pressure of 5×10-6 torr. The device exhibited the EL emission of the ZnS:Mn at the spacing between the electrodes without an electrical breakdown up to 400 V. The threshold voltage, that is, the voltage at the luminance of 1cd/m2, was 160 V, and the luminance increased with increasing voltage. The luminance at 200 V, 300 V, and 400 V were 18 cd/m2, 120 cd/m2, and 230 cd/m2, respectively.
9:00 PM - LL19.3
Hematite Nanostructures of Different Shapes Grown by Thermal Deposition: Structural, Magnetic and Luminescence Properties.
Carlos Diaz-Guerra 1 , Mariana Chioncel 2 , Javier Piqueras 1
1 Física de Materiales, Universidad Complutense. Facultad de Físicas, Madrid Spain, 2 Physics Department. Faculty of Chemistry, University of Bucharest, Bucharest Romania
Show AbstractDue to its stability under ambient conditions and dual semiconducting-magnetic properties, hematite (α-Fe2O3) is of significant scientific and technological importance [1]. Hematite has been used in catalysis, gas sensors, transistors and field emission devices. In addition, magnetism of Fe2O3 nanostructures receives a distinctive attention, as this oxide presents a magnetic transition at the so-called Morin temperature, that strongly depends on the size and shape of the nanostructure considered. In this work, Fe2O3 micro- and nanostructures have been grown by a catalyst-free method using compacted Fe powder under Ar flux at temperatures between 700 and 900 °C. This technique has been previously applied to grow nanostructures of different semiconductor oxides [2,3]. The morphology, composition and structure of the obtained products have been characterized by scanning electron microscopy (SEM), energy dispersive X-ray microanalysis, high resolution transmission electron microscopy (HRTEM) and X-ray diffraction. Luminescence of the grown nanostructures was investigated by cathodoluminescence (CL) in the SEM, while their magnetic properties have been investigated using a superconducting quantum interference device.Different thermal treatments induce the growth of structures with different sizes and morphologies as nanowires, nanobelts, nanoplates, nano-sea-urchins, caped pillars or octahedral microcrystals. The experimental conditions leading to the different structures have been investigated, as well as the possible growth mechanism. In particular, HRTEM images and selected area electron diffraction patterns indicate that the obtained nanowires are single crystalline and grow along the [110] direction. Magnetic measurements reveal that such nanowires show weak ferromagnetic behavior above a Morin transition temperature TM = 124 K, well below the Morin temperature of bulk hematite (262 K). The formation of the nanostructures also induces changes in the spectral distribution and/or intensity of the CL emission, with several bands related to O2- - Fe3+ charge transfer transitions and Fe ligand field transitions. The evolution of the magnetization of the hematite nanowires with temperature has been compared with the temperature dependence of the panchromatic CL intensity.[1] Y.L. Chueh, M.W. Lai, J.Q. Liang, L.J. Chou, and Z.L. Wang, Adv. Funct. Mat. 16, 2243 (2007).[2] E. Nogales, B. Méndez and J. Piqueras, Nanotechnology 19, 035713 (2008).[3] C. Díaz-Guerra and J. Piqueras, Cryst. Growth Des. 8, 1031 (2008).
9:00 PM - LL19.4
Ferromagnetism in Substitutionally Mn Doped Ge Nanowiresand Their Gate Potential Responsibility.
Ungkil Kim 1 , Han-Kyu Seong 1 , Myoung-Ha Kim 1 , Il-Su Kim 1 , Ryong Ha 1 , Jae-Gwan Park 2 , Heon-Jin Choi 1
1 School of Advanced Materials Science and Engineering, Yonsei University , Seoul Korea (the Republic of), 2 Nano-materials Reseach Center, Korea Institute of Science and Technology, Seoul Korea (the Republic of)
Show AbstractDiluted magnetic semiconductors (DMSs) have garnered much interest due to their potential for introducing a spin degree of freedom into semiconductors, an important step toward developing spintronics. Room temperature ferromagnetism in DMSs has been predicted by system based on hole-mediation and reported in many transition metal doped semiconductor system. While ferromagnetism has been reported in many DMSs, there is still significant debate over the possible magnetic impurity phase separation and uncertainty of magnetic interactions. Furthermore, magnetic interactions are uncertain, because most of the transition elements form a trapping potential for holes in these semiconductors, making it difficult to realize hole mediated ferromagnetism. These problems pose obstacles to realizing their full potential for practical DMS-based spin devices. For solving these problems, we have investigated the ferromagnetism in Mn doped Ge nanowires because pure Ge nanowire system itself has a p-type characteristic. It is thus an ideal semiconductor to realize hole-mediated ferromagnetism when Mn is properly doped. It is also attractive because of a compatibility with current silicon complementary metal oxide semiconductor (CMOS) processes, and a nanowire system itself has a number of advantages over thin films with respect to studying ferromagnetism in DMSs. They can thus safely exclude the effect of defects and non-uniform distribution of dopants that are typically observed in DMSs prepared by non-equilibrium processing.We successfully synthesized the single crystalline Mn:Ge nanaowires using a Au catalyst deposited silicon substrates in a germanium tetrachloride (GeCl4) based chemical vapor transport system. For doping, MnCl2 (purity 99.99%) powder is used as a doping source. The typical diameter and length of the Mn:Ge nanowires are from 60 nm to 80 nm and ten micrometers, respectively. The average concentration of Mn doped in these nanowires is c.a. 1.5 %. Anomalous x-ray scattering measurement makes it clear that Mn atoms are substitutionally incorporated with the diamond network of host Ge sites. X-ray magnetic circular dichroism spectra at Mn L2,3-edges showed that doped Mn has local spin moment with the 3d5 electronic configuration above room temperature, clearly meaning that the ferromagnetism originates from doped Mn2+ ions. Electrical characterization of a nanowire field effect transistor (FET) showed the real-time gate-dependent hysteresis that is due to formation of the acceptor level of Mn between the electron trap levels of Ge, resulting in Mn hole generation in the band gap. These findings suggest that the ferromagnetic coupling between Mn ions in Mn:Ge nanowires is driven by a carrier-induced mechanism that is mediated by the hole in the Mn band and carrier. Furthermore, we also vertically grew Mn:Ge nanowires and studied the magnetism. The outcomes indicate magnetic anisotropy that would be helpful to realize spin-based electronic.
9:00 PM - LL19.5
The Fabrication of Ferromagnetic Cr-GaN Nanorods.
JungHwan Chun 1 , Congkang Xu 1 , DongEon Kim 1 , Chung Wung Bark 2 , Yang Mo Koo 2
1 physics, POSTECH, Pohang, kyungbuk, Korea (the Republic of), 2 material engineering, POSTECH, Pohang, kyungbuk, Korea (the Republic of)
Show Abstract9:00 PM - LL19.6
Electronic Structure and Magnetization of Diluted Magnetic Semiconductor Nanowires.
Yong Jae Cho 1 , Kyung Hwan Ji 1 , Han Sung Kim 1 , Yong Jae Son 1 , Jeunghee Park 1
1 , korea university, jochiwon Korea (the Republic of)
Show Abstract9:00 PM - LL19.7
Heterointerface Effect on Transport and Magnetic Properties of Heterostructured Nanowires using Transition Metal Oxides.
Takeshi Yanagida 1 , Kazuki Nagashima 1 , Keisuke Oka 1 , Shu Seki 2 , Hidekazu Tanaka 1 , Tomoji Kawai 1
1 ISIR-Sanken, Osaka University, Osaka Japan, 2 Department of Enginnering, Osaka University, Osaka Japan
Show AbstractTransition metal oxides (TMO) nanowires are potential candidates towards functional nanowire-based devices and sensors due to their fascinating physical properties, including superconductivity, metal-insulator transition, resistive switching phenomena, ferromagnetism and ferroelectricity. Within the framework of conventional vapor-liquid-solid (VLS) mechanism to form the nanowires, there is a fundamental limitation to create diverse transition metal oxide nanowires. “Heterostructured Nanowires” is one of most promising ways to overcome such difficulties since various heterostructures of oxide thin films have been proved to be useful [1-3]. Although the heterointerface should play an important role on the nanowire properties as found in oxide film heterostructures, such effects have not been well understood. Here we report i) the in-situ formation of “Heterostructured Nanowires” using transition metal oxides and ii) the heterointerface effect on the transport and magnetic properties. The oxide core-shell heterostructured nanowires were fabricated by in-situ laser MBE technique developed [4-10]. Various oxide heterostructured nanowires using rock-salt-NiO and MgO, rutile-TiO2 and SnO2, and spinel-Fe3O4 were fabricated using the in-situ technique. When forming the oxide heterostructured nanowires, the lattice matching in 3D was found to be a crucial factor to fabricate the well-defined epitaxial heterointerface. There was a significant variation of the heterointerfaces when varying the ambient formation configurations. The atomic inter-mixing at the heterointerface was found to play a crucial role on the transport and magnetic properties of fabricated heterostructured nanowires. Thus the heterointerface effect on the heterostructured oxide nanowires must be considered towards well-defined “Heterostructured Nanowires” using transition metal oxides and the applications.[1] Yanagida et al., Phys. Rev. B, 70, 184437 (2004), [2] Yanagida et al., Phys. Rev. B, 73, 132503 (2006), [3] Nagashima et al., Phys. Rev. B, 74, 172106 (2006), [4] Nagashima et al., J. Appl. Phys., 101, 124304 (2007), [5] Marcu et al., J. Appl. Phys., 102, 016102 (2007), [6] Nagashima et al., Appl. Phys. Lett., 90, 233103 (2007), [7] Yanagida et al., Appl. Phys. Lett., 91, 061502 (2007), [8] Yanagida et al., J. Appl. Phys., 103, (2007) [9] Nagashima et al., J. Am. Chem. Soc., 130, 5378 (2008), [10] Marcu et al., Appl. Phys. Lett., 92, 173119 (2008)
9:00 PM - LL19.8
Photoluminescence of CVD-Silicon Nanowires: Observation of Electron-hole Plasma.
Olivier Demichel 1 , Fabrice Oehler 1 , Pascal Gentile 1 , Pierre Noe 1 , Vincent Calvo 1 , Nicolas Pauc 1 , Noel Magnea 1 , Pierre Ferret 2 , Thierry Baron 3 , David Peyrade 3
1 , CEA/INAC/SP2M/SiNaPS, Grenoble France, 2 , CEA/LETI/DOPT/LPS, Grenoble France, 3 , CNRS/LTM, Grenoble France
Show AbstractSilicon nanowires (SiNWs) obtained by chemical vapor deposition are really promising for the basic research on one dimensional electronical systems as well as for applications in nanoelectronics, in photovoltaics or also as nano-sensors. However, to fulfill their promises, they must have properties similar to high crystal quality silicon objects. Thus, a relevant question is to know if carriers can be free in CVD-SiNWs, or if they will be trapped by defects or deep states. Here, we present results on photoluminescence experiments to study the nanowires electronical properties. We exhibit the importance of a passivation step to observe a near silicon gap spectral contribution coming from the nanowires. The study of the behavior of this contribution as a function of temperature and pump power allows us to conclude on a band to band recombination of free carriers. The analyze of shape and spectral position of this contribution is in agreement with the presence of an electron-hole plasma which is a condensed phase of interacting carriers. The comparison with c-SiNWs obtained by etching a silicon on insulator structure is also achieved.
9:00 PM - LL19.9
MgZnO Based UV Emitter Based on Optical Pumping.
R. Vispute 1 , J. Feldman 1 , Geun Lee 1 , Andrew Seiser 1 , Jaurette Dozier 1 , Ichiro Takeuchi 2 , Arun Luykx 2
1 , Blue Wave Semiconductors, Columbia, Maryland, United States, 2 CNAM and Dept. Materials Engineering, University of Maryland, College Park, Maryland, United States
Show Abstract9:00 PM - LL19:Characteriz
LL19.14 Transferred to LL4.27
Show Abstract9:00 PM - LL19:Characteriz
LL19.17 Transferred to LL7.9
Show AbstractLL20: Poster Session: Metal Nanowires: Synthesis & Properties
Session Chairs
Friday AM, December 05, 2008
Exhibition Hall D (Hynes)
9:00 PM - LL20.1
Universal Scaling Laws for the Strength of Metallic Nanowires.
Brian Derby 1 , Rui Dou 1
1 Materials Science, University of Manchester, Manchester United Kingdom
Show AbstractIt is well documented that metallic nanowires show very high values of yield stress and that strengths close to the ideal strength of a solid have been reported. Here we investigate the dependence of nanowire strength as a function of wire diameter. We hence show that all data reported for the strength of circular section nanowires from fcc metals show a universal scaling law. This relates the strength, σ, normalised by the shear modulus μ, and wire diameter, d, normalised by Burgers' vector b, such that (σ/μ)(d/b)m = constant, where the exponent, m, is about 0.6. The constant is shown to depend on wire section shape and whether the deformation is in bend or compression. The implications of this behaviour on the mechanisms of deformation in nanowires will be discussed.
9:00 PM - LL20.10
Atomic Arrangement Characterization of Smaller than 10 nm Metal Nanoparticles Produced by Cluster Beam Deposition for Nano-devices.
IlSeuk Kang 1 , TaekYoung Lee 2 , JeongHun Kim 2 , HyunSang Seo 1 , KaHee Kim 1 , JunMo Yang 1 , WukJung Hwang 1 , ChiWon Ahn 1
1 , National Nanofab Center, Daejon Korea (the Republic of), 2 , Hanbat University, Daejon Korea (the Republic of)
Show AbstractNanoparticles have unique catalytic, optical, and electrical properties. These properties are strongly dependent on the atomic arrangement characterization and size of the particles. Metal nanoparticles were fabricated by inert-gas condensation in a sputtering reactor. The lattice structure and size of copper nanoparticles were determined by mass spectroscopy, and confirmed by transmission electron microscopy. From these measurements, it is confirmed that nanoparticles with a high degree of monodispersity in size of smaller than 10 nm were successfully fabricated with controlling the atmosphere in the condensation chamber, the magnetron power, and the zone condensation length.In order to apply these nanoscale arrangement characterizations to nano-electric devices like nano-sensors, it is necessary to investigate the electrical property in the operating environments. For the characterization of electrical properties, the percolation conductance (I-V) was measured. According to the increase of temperature during measurement, the percolation conductance increased. However, at room temperature, the dark current was recovered. Thus the increase can be governed not only by irreversible processes like neck growth of metal nanoparticles but also dominantly by reversible processes like thermal activation of conductivity. Not surprisingly, the reduction of percolation conductance by aging in air at a given temperature was observed. The time dependence of the current reduction behavior was a little different from the rate of oxidation controlled by diffusion in thin film. This may be because the surface area to volume ratio goes up.
9:00 PM - LL20.11
Directed Assembly of Nanowires using Silicon Grooves and Localized Surface Treatments.
Sabrina Habtoun 1 , Christian Bergaud 1 , Monique Dilhan 1 , David Bourrier 1
1 , LAAS-CNRS, Toulouse France
Show AbstractThe properties of nanostructures and the need to address them electrically into micro/nanosystems have made their directed assembly a field of extensive research. The alignment of 1D nanostructures can be induced by electrical[1], magnetic[2], capillary forces[3]. Our work focuses on the directed assembly of bottom-up fabricated nanowires on patterned silicon substrates. The patterning consists of anisotropic etching of v-grooves and variation of the contact angle[4] inside and outside the grooves. In this approach, the capillary assembly induced by the variation of the contact angle of the substrate is associated with the geometric effect of the trenches, which act as microchannels. Moreover, the geometry of the anisotropic trenches allows a good control of the position of the aligned nanowires without having to resort to e-beam lithography.Gold nanowires were fabricated by electrodeposition inside commercially available porous alumina membranes[5], with a diameter of 250 nm and lengths ranging from 5 to 10 µm, then dispersed in water after dissolution of the template. The trenches were fabricated by anisotropic etching of silicon (using TMAH) through a silicon nitride mask. The substrates were treated with silanes in order to control the contact angle : a hydrophobic SAM outside the trenches (octadecyltrichlorosilane) to increase the yield of the alignment, and a hydrophilic one inside them (aminopropyltrimethoxysilane) to improve the ordering of the nanowires. A few µL of the solution of nanowires was then deposited on the patterned substrate. Gold electrodes were finally deposited by lift-off above the aligned nanowires.Preliminary experiments showed that the geometric patterning itself allowed an alignment of the nanowires at the bottom of the grooves. We then studied the influence of the size of the patterns, and of the SAM treatments on the yield of aligned nanowires in the grooves. The results showed an efficient alignment of the nanowires using only photolithographic methods. Their alignment and even localization in the smallest grooves allowed us to characterize electrically single nanowires, showing a resistive behavior. References :[1] Mayer et al, Appl. Phys. Lett., vol 77 n°9, pp 1399-1401, 2000[2] Myung et al, Chem. Mater., vol 17, pp 1320-1324, 2005[3] Xia et al, J. Am. Chem. Soc., vol 123, pp 8718-8729, 2001[4] Bao et al, Small, vol 2 n°12, pp 1448-1453, 2006[5] Martin et al, J. Mater. Chem., vol 7 n°7, pp 1075-1087, 1997
9:00 PM - LL20.12
Electrochemical Deposition of Metal and Metal Oxide Nanowires Utilizing Template Scaffolds.
Montree Sawangphruk 1 , John Foord 1
1 Department of Chemistry, University of Oxford, Oxford United Kingdom
Show AbstractIn order to control the size of 1D nanostructures (tubes, fibers or wires, and rods) within the nanoscale diameter range, the controllable template synthesis of materials is currently of interest using nanoporous materials as a template. In this work, we have combined an electrochemical deposition method in conjunction with anodic aluminium oxide (AAO) membranes with 200 nm pore diameter as the template in synthesizing nanowires such as metal, bimetallic, and metal oxide nanowires. With the advantage of template scaffold, the channels of nanowires are aligned almost parallel to each other without interconnections between adjacent wires. Cu, Ag, and Au as well as their bimetallic nanowires have been achieved from aqueous solutions containing the appropriate precursors at negative electrode potentials between 0 to -1.5 V. By introducing H2O2 to the system to raise the local pH, oxide nanowires such as PrO2, CeO2 and the supercapacitor MnO2 have also been fabricated. In the term of characterization of nanowires, scanning electron microscope/energy dispersive X-ray spectroscopy (SEM/EDX) and X-ray diffraction (XRD) were employed. The results demonstrate how the electrochemical deposition approach can be adapted to yield a straightforward, rapid approach for the synthesis of a wide range of inorganic materials in a nanowire format.
9:00 PM - LL20.13
Fabrication of Ag-Au Core Shell Structures on an Alpha Synuclein Protein Template.
Sonal Padalkar 1 2 , John Hulleman 3 , Seung Min Kim 1 2 , Jean-Cristophe Rochet 3 , Eric Stach 1 2 , Lia Stanciu 1 2
1 Material Science and Engineering, Purdue University, West Lafayette, Indiana, United States, 2 Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana, United States, 3 Department of Medicinal Chemistry and Molecular Pharmacology, Purdue University, West Lafayette, Indiana, United States
Show AbstractCore shell nanostructures, such as Ag-Au core shell, are interesting materials due to their superior properties as compared to their monometallic structures. In the present research work, Ag-Au core shell nanowires were synthesized, for the first time, using an amyloid protein template. The lateral dimensions of the core and shell were varied by changing the process variables. The core shell nanowires were characterized by field emission scanning electron microscopy, UV-VIS spectroscopy, transmission electron microscopy, electron energy loss spectroscopy and high resolution transmission electron microscopy. The UV-Vis absorption spectrum obtained for the core shell nanowires showed absorption peaks at ~375nm and ~400nm, which can be attributed to the silver core, and ~510nm, which can be attributed to the gold shell. High resolution transmission electron microscopy was carried out to study the nature of these core shell structures. Further, electron energy loss spectra were obtained for complete characterization.
9:00 PM - LL20.15
Synthesis of Branched Au Nanostructures Attached to Hollow Nanocrystals via a Controlled Replacement Reaction.
Yonglin Liu 1 , Guangjun Cheng 1 , Angela Hightwalker 1
1 , nist, Rockville, Maryland, United States
Show AbstractGalvanic replacement reactions have been used to generate remarkable, hollow, metallic nanostructures with controllable morphology for applications in catalysis and sensing. We have demonstrated that a controlled replacement reaction can be used to obtain branched Au nanostructures attached to hollow nanocrystals using surface-modified Cu nanoparticles as sacrifice templates. In the presence of 1-dodecanethiol, we found that CuS layers grow unevenly on the surfaces of pre-synthesized Cu nanoparticles (50-200 nm) with various shapes such as pyramids, cubes, and polygons. The shape of the original nanoparticles plays an important role in the growth of the CuS layer. Then, a galvanic replacement reaction between HAuCl4 and surface-modified Cu nanoparticles produces hollow nanocrystals with branched Au nanostructures. This indicates that the galvanic replacement occurs even with CuS barriers. The as-prepared nanostructures are characterized using TEM, HR-TEM, XRD, and UV-visible spectroscopy. The mechanistic aspects of branched particles will be discussed.
9:00 PM - LL20.17
Silver Nanowires: Synthesis, Characterization and Optical Properties.
Yuri Barnakov 1 , Heng Li 1 , Thejaswi Tumkur 2 , Mohammed Mayy 1 , Guohua Zhu 1 , Mikhail Noginov 1
1 Center for Materials Research, Norfolk State University, Norfolk, Virginia, United States, 2 Materials Science and Engineering Department, Purdue University, West Lafayette, Indiana, United States
Show AbstractPlasmonic materials with strong anisotropy of the refractive index are of a great interest for metamaterials applications. Regular array of silver nanowires in the anodic alumina matrix can be considered as one of the possible systems. Ag nanowires in the porous alumina were synthesized into the channels of Anodic Alumina Oxide (AAO) membrane by means of electrochemical deposition. The high aspect ratio of nanowires is achieved by controlling electrolyte concentration, pH of buffer solution, deposition time and current. The systems were characterized by SEM and XRD. Optical reflection and transmission spectra of nanocomposites with the different metal filling factors were studied. The strong correlation between the length of Ag embedded into AAO and spectral shape is observed and discussed
9:00 PM - LL20.18
Functionalization of Electrospun Ceramic Nanofibers with Pt Nanoparticles and Nanowires for Catalytic Applications.
Eric Formo 1 , Eric Lee 1 , Zhenmeng Peng 2 , Dean Campbell 3 , Xianmao Lu 1 , Hong Yang 2 , Younan Xia 1
1 Biomedicial Engineering, Washington University, St Louis, Missouri, United States, 2 Chemicial Engineering, University of Rochester, Rochester, New York, United States, 3 Department of Chemistry and Biochemistry, Bradley University, Peoria, Illinois, United States
Show AbstractThis paper reports a simple procedure for derivatizing the surface of anatase nanofibers with Pt nanoparticles and then Pt nanowires. The nanofibers were fabricated in the form of a composite nonwoven mat via electrospinning, followed by calcination. The fiber mats were then immersed in a polyol reduction bath to coat the surface of the fibers with noble Pt nanoparticles of 2-5 nm in size with controllable density of coverage. Furthermore, the coated fibers could serve as a three-dimensional scaffold upon which Pt nanowires of roughly 7 nm in diameter were grown at a high density and with a length up to 125 nm. These functionalized fiber membranes were found to show excellent catalytic activity for the hydrogenation reactions, and could be operated in a continuous mode by passing the reaction solution through the membrane at a flow rate of as high as 0.5 mL/s. Moreover, the catalytic activity for methanol oxidation reaction was also studied to ascertain the effects of surface coverage and morphology of the Pt nanostructures. Cyclic voltammetric measurements indicate that nanofibers with a submonolayer of Pt nanoparticles display improved catalytic durability as determined by chronoamperometry owing to a synergistic effect of the underlying anatase surface and well-defined facets of the platinum nanostructures. There was also improvement in catalytic activity and durability for Pt nanowires decorated nanofibers, indicating that the additional surface area of the nanowires can enhance both catalytic ability and robustness.
9:00 PM - LL20.2
Size-dependent Deformation Behavior of Metallic Nanowires in Template.
Ho Sun Shin 1 , Jaeyong Song 2 , Jin Yu 1
1 CEPM, MS&E, Korea Advanced Institute of Science and Technology, Daejeon Korea (the Republic of), 2 Division of Advanced Technology, Korea Research Institute of Standards and Science, Daejeon Korea (the Republic of)
Show AbstractOne-dimensional nanowires are expected to contribute to form the functional structures of nanoscale devices in future. Especially, metallic nanowires (NWs) are candidate materials for the application to the nanodevices, e.g., passive interconnects and nano-antennae, etc. Generally, template-based methods combined with electrodeposition techniques have been employed to fabricate metallic nanowires using the templates with nanosized pores, such as an anodic aluminum oxide (AAO), a polycarbonate membrane, or a mica single crystal. Recently, extensive studies have been performed on the size effects of nanowire on thermal stability, elastic/plastic properties, and melting/freezing behavior. In this work, metallic nanowires of Sn, Ni, and Zn were grown in the nanotemplates using an electrochemical method and the size-dependent lattice deformations of the NWs within templates were investigated according to XRD, SEM, and TEM analyses. It was found that the lattice deformation in the axial direction of NWs occurred in the tensile state. The strain increased up to a maximum, 1 % for Sn NWs as the radius (r) decreased in the range of 7 ~ 30 nm. The effects of template on the variation of the lattice deformation of NWs are discussed because metallic NWs were structurally supported by the template. And, it is proved that the tensile deformation of NWs in the axial direction is due to the template-induced growth stress as well as the size-dependency.
9:00 PM - LL20.3
Nano-structural Evolution of Noble Metals Induced by an Electrolysis Reaction.
Koichi Hamamoto 1 , Masanobu Awano 1
1 , National Institute of Advanced Industrial Science and Technology (AIST), Nagoya, Aichi, Japan
Show AbstractWe developed a technique for changing the morphology of noble metals into the self-assembled nanowires, dendritic structures and nanoparticles by using an electrolysis reaction. The nanowires are characterized by scanning electron microscope (SEM), transmission electron microscope (TEM), selected area electron diffraction (SAED), and energy dispersive x-ray spectroscopy (EDS). For example, the diameters of self-assembled platinum nanowires were from 10 nm to 100 nm. And, it was composed of platinum particles of about 3 nm in diameter.This fabrication technique can be applied to make a nano-structured electrode of an electrochemical reactor. We developed an electrochemical reactor that decomposes and purifies NOx in diesel engine exhaust gas. As a result, the nano-structured electrodes achieved a dramatic improvement of the NOx decomposition property. This electrochemical reactor showed high reactivity to NOx and was able to decompose about 90% of NO gas of 1000 ppm at 250°C in an atmosphere containing high concentrations (about 20%) of oxygen.
9:00 PM - LL20.4
Morphology and Diameter Controlled Synthesis of Ag Nanostructures with a Simple Galvanic Displacement Method on V Foils.
Chiu-Yen Wang 1 , Lih-Juann Chen 1
1 Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu Taiwan
Show Abstract9:00 PM - LL20:Mtl Nanowir
LL20.5 Transferred to LL21.5
Show Abstract