Symposium Organizers
Michael J. Aziz Harvard University
Jerrold A. Floro University of Virginia
Stefan G. Mayr Georg-August-Universitaet Goettingen
Christopher C. Umbach Cornell University
KK1: Ion Irradiation of Metals and Insulators
Session Chairs
Eric H. Chason
Vivek Shenoy
Monday PM, November 26, 2007
Room 304 (Hynes)
9:30 AM - **KK1.1
Surface Structuring by Ion Beam Erosion.
Bernd Rauschenbach 1 , Bashkim Ziberi 1 , Frank Frost 1 , Thomas Hoeche 1
1 , Leibniz-Institute of Surface Modification, Leipzig Germany
Show AbstractLow-energy ion sputtering has recently attracted increasing interest as an effective method for generation of self-organized nanostructures on the surface of solids with a wide range of possible technological applications. It is well known that under certain conditions, sputtering can produce well-ordered patterns, like ripples or dots on different materials [1-6]. The formation, ordering and size of nanostructures depends on different process conditions. Usually this pattern formation process is considered as a result of the interplay between curvature dependent sputtering that roughness the surface, and different surface relaxation mechanisms that act to smooth the surface.In this contribution the dot and ripple surface topography emerging on Si, Ge and compound semiconductor surfaces during low-energy (≤ 2000 eV) noble gas ion beam erosion at oblique ion incidence is studied. The results show that there is a much more complex behavior of the surface topography with ion energy, ion fluence, angle of incidence, etc.For example, the experimental results show that at certain ion sputtering conditions, at oblique ion incidence ripple patterns can form on Si and Ge surfaces with size below 100 nm. By varying the ion incidence angle a morphological transition from ripple to dot patterns could be observed. Due to self-organization processes and also influenced by the previous existence of ripples, the dot patterns show a long range ordering that covers the whole sample area. For Ge the dots show a hexagonal ordering while for Si the dots show a quadratic ordering. Experimental results reveal that the wavelength of ripples remains constant with increasing ion fluence, while the ordering increases, leading to ripple patterns with a very high degree of ordering. Moreover, the influence of different ion species on pattern formation is investigated. Atomic force microscopy and high-resolution transmission electron microscopy are used to characterize the evolving nanostructures.[1] S. Facsko, T. Dekorsy, C. Koerdt, C. Trappe, H. Kurz, A. Vogt, and H. L. Hartnagel, Science 285, 1551 (1999).[2] F. Frost, A. Schindler, and F. Bigl, Phys. Rev. Lett. 85, 4116 (2000).[3] R. Gago, L. Vazquez, R. Cuerno, M. Varela, C. Ballesteros, and J. M. Albella, Appl. Phys. Lett. 78, 3316 (2001).[4] B. Ziberi, F. Frost, B. Rauschenbach, and T. Hoche, Appl. Phys. Lett. 87 (2005).[5] B. Ziberi, F. Frost, Th. Hoche, and B. Rauschenbach, Phys. Rev. B 72, 235310 (2005).[6] B. Ziberi, F. Frost, and B. Rauschenbach, Appl. Phys. Lett. 88 (2006).
10:00 AM - KK1.2
Controlling Ion Beam Induced Self-organization on Si Surfaces by Lithographic Pre-patterning.
Bashkim Ziberi 1 , Theresa Lutz 1 , Renate Fechner 1 , Dietmar Hirsch 1 , Klaus Zimmer 1 , Frank Frost 1 , Bernd Rauschenbach 1
1 , Leibniz-Institut für Oberflächenmodifizierung, Leipzig Germany
Show AbstractPattern formation on the surface of different materials due to low-energy ion beam erosion is a versatile tool for large scale nanostructuring. The evolving patterns are arranged in domains of well ordered nanostructures showing hexagonal ordering. However, usually this self-organization process lacks long-range order due to the formation of domains and defects in the pattern. Also there is a little positional control of the evolving structures. One possibility to influence the ordering of structures is by using pre-patterned substrates [1]. In this way due to spatial limitations and guided by the lateral ordering of the pre-patterned templates the evolving topography shows an improved ordering, a fabrication principle also known as guided self-organization. The method allows also an exact positioning of nanostructures on the surface. In this contribution results on the ripple and dot pattern formation on pre-patterned Si surfaces during low energy (≤ 2000 eV) Kr+ and Xe+ ion beam erosion are presented. The pre-patterned substrates are fabricated by various lithographic techniques in combination with etching techniques for structure transfer. Depending on the shape of the pre-patterned structure (binary gratings with different periods, square arrays of cylinders, gratings with V-grooves) different results are obtained. Examples are: i) formation of curved ripples on the surface, where the curvature is caused by a continuous change in the local topography within pre-patterned regions; ii) perfectly square ordered dots on exact positions on the surface; iii) enhanced ordering of ripples and the formation of ripples with different orientation in accordance with the local surface orientation. Another interesting effect is the continuous and controlled change in orientation of ripples independent of the direction of the incident ion beam. In general the main parameters determining the pattern formation with this method are the local incidence of ions, orientation of the local surface with respect to the ion beam direction, and the local surface curvature.[1]A. Cuenat, H. B. George, K. C. Chang, J. M. Blakely, and M. J. Aziz, Adv. Mat. 17, 2845 (2005).
10:15 AM - KK1.3
Studies of Si Surface Morphology Evolution during Ar+ Ion Bombardment.
Gozde Ozaydin 2 1 , Karl Ludwig Jr. 1
2 Aerospace and Mechanical Engineering Department, Boston University, Boston, Massachusetts, United States, 1 Physics Department, Boston University, Boston , Massachusetts, United States
Show AbstractSystematic studies of Si surface morphology evolution during low energy Ar+ ion bombardment are reported. Real-time grazing incidence small-angle x-ray scattering (GISAXS) measurements are performed at the National Synchrotron Light Source of Brookhaven National Laboratory. The stress state of the surface is investigated during ion bombardment using real-time wafer curvature measurements. Ex-situ atomic force microscopy is also used to provide real-space information.Si (100) samples are bombarded with 300 eV Ar+ ions at normal incidence in the presence and the absence of seed atoms at room temperature. Although Si surfaces remain smooth during bombardment at room temperature, in the presence of seed atoms formation of correlated nanodots is observed. The results of the stress measurements are discussed in detail and the effects of surface stress on the nanodot formation with seeding are investigated.Separate studies on the effects of substrate temperature during ion bombardment at 500 eV and 1000 eV in the presence and absence of seed atoms are also presented. For both cases a transition region from amorphous to crystalline structure is observed around 400°C and above 600°C the surface remains crystalline. The effects of substrate temperature and crystal structure on the nanodots are also discussed.This work is partially supported by NSF DMR-0507351 and DOE DE-FG02-03ER46037.
10:30 AM - KK1.4
Evolution of Two Lengthscales During Argon Ion Irradiation of Silicon.
H. George 1 , Michael Aziz 1
1 , Harvard School of Engineering & Applied Sciences, Cambridge, Massachusetts, United States
Show AbstractDuring 150 to 425 eV argon ion irradiation of Si(001) at temperatures below ~ 300 degrees C and incidence angles less than ~ 20 degrees from normal, we observe a variety of sputter ripple morphologies with two prevailing length scales: long-wavelength anisotropic ripples along the projected beam direction at off-normal incidence or, at normal incidence, isotropic "rings"; short wavelength isotropic dots; or combinations of features at both length scales. We present an experimental phase diagram that maps the transitions between the different morphological regions. We discuss possible mechanisms leading to the various features.
10:45 AM - KK1.5
Stabilization and Bifurcation Points in the Dynamics of Ion Sputtered Surfaces.
Benny Davidovitch 1 2 , H. Bola George 1 , Michael Brenner 1 , Michael Aziz 1
1 School of Engineering and applied sciences , Harvard , cambridge, Massachusetts, United States, 2 Physics, UMass , Amherst, Massachusetts, United States
Show AbstractThe classical linear theory of ion beam sputtering predicts the instabilityof a flat surface to uniform ion irradiation at any temperature, beam energy or incidence angle. Thus, this linear dynamics lacks bifurcation points, where the homogenous solution becomes unstable upon smooth variation of a control parameter. This fact in turn makes the nonlinear problem of pattern selection a baffling task, because the general tools of pattern formation theory, e.g. amplitude equation analysis, which are perturbatively derived in the proximity of bifurcation points, are not available. In this contribution we point out that, in contradiction to this prediction, various ion sputtering experiments do exhibit bifurcation points, at which a flat surface becomes stable upon smooth variation of beam angle or energy. Analyzing central physical observables such as pattern wavelength and amplitude near these points, we discuss the possible nature of linear and nonlinear processes underlying this phenomenon. In particular, we show how the existence of nonlocal mechanism in the surface dynamics can be discerned, and we explain how important information on approximate symmetries of the dynamics can be extracted from such an analysis.
11:30 AM - **KK1.6
Interplay between Morphology and Surface Transport in Nanopatterns Produced by Ion-Beam Sputtering.
Rodolfo Cuerno 1 , Javier Munoz-Garcia 2 , Mario Castro 3 , Raul Gago 4 , Luis Vazquez 5
1 Departamento de Matemáticas and Grupo Interdisciplinar de Sistemas Complejos (GISC), Universidad Carlos III de Madrid, Leganés, Madrid, Spain, 2 Departamento de Matemáticas and GISC, Universidad de Castilla la Mancha, Ciudad Real Spain, 3 Escuela Técnica Superior de Ingeniería (ICAI) and GISC, Universidad Pontificia Comillas de Madrid, Madrid Spain, 4 Centro de Microanálisis de Materiales, Universidad Autónoma de Madrid, Madrid Spain, 5 Instituto de Ciencia de Materiales de Madrid, Consejo Superior de Investigaciones Científicas, Madrid Spain
Show AbstractRecently, a "hydrodynamic" model has been proposed to describe nanopattern formation and dynamics on amorphous surfaces eroded by ion-beam sputtering (IBS) [1] that relates to descriptions of pattern formation in macroscopic systems such as aeolian sand dunes [2,3]. In contrast to previous continuum models of the morphology of ion-sputtered surfaces, in which the only field considered is the height of the bombarded surface [4], an additional field is here coupled with the former, describing the material density that diffuses onto the surface. We describe a multiple scales analysis of the model, in which we consider normal ion incidence and oblique incidence, for both fixed and rotating targets. Closed equations for the surface height evolution can be obtained in the vicinity of the morphological instability threshold, that are generalizations of the anisotropic Kuramoto-Sivashinshy equation containing additional conserved Kardar-Parisi-Zhang type nonlinearities. In general dot or ripple patterns form, that later evolve exhibiting complex nonlinear dynamics. Thus, we observe interrupted coarsening behavior [5] in such a way that for normal incidence and in appropriate parameter regions, domains of hexagonally ordered structures appear, that compare favorably with those obtained in many experimentsof nanodot formation by IBS [6]. Meanwhile, in other parameter regions, this short-range ordered pattern coexists with long range disorder and kinetic roughening. In the case of oblique incidence, a ripple pattern is generically obtained [4]. In our model, these ripples also show interrupted coarsening and feature additional nonlinear features, such as non-uniform transverse motion, that again compare well with experimental observations on nanoripples. In order to discuss the applicability and limitations of our model we will consider alternative approaches as well as further experimental results in terms of the occurrence of lateral order and pattern coarsening properties.
[1] M. Castro, R. Cuerno, L. Vázquez, R. Gago, Phys. Rev. Lett. 94, 016102 (2005); J. Muñoz-García, M. Castro, R. Cuerno, ibid. 96, 086101 (2006).
[2] T. Aste, U. Valbusa, Physica A 332, 548 (2004).
[3] See Z. Csahók, C. Misbah, F. Rioual, A. Valance, Eur. Phys. J. E 3, 71 (2000) and refs. therein.
[4] See e.g. J. Muñoz-García, L. Vázquez, R. Cuerno, J. A. Sánchez-García, M. Castro, R. Gago, to appear in Lecture Notes on Nanoscale Science and Technology, ed. Z. M. Wang, Springer. arXiv:0706.2625.
[5] P. Politi, C. Misbah, Phys. Rev. Lett. 92, 090601 (2004).
[6] R. Gago, L. Vázquez, O. Plantevin, T. H. Metzger, J. Muñoz-García, R. Cuerno, M. Castro, Appl. Phys. Lett. 89 233101 (2006).
12:00 PM - KK1.7
Ion Beam Induced Surface Modulations from Nano to Pico: Optimizing Deposition During Erosion and Erosion During Deposition.
Warren MoberlyChan 1 , Richard Schalek 2
1 , LLNL, Livermore, California, United States, 2 , Harvard University, Cambridge, Massachusetts, United States
Show AbstractIon beams of sufficient energy to erode a surface can lead to surface modulations that depend on the ion beam, the material surface it impinges, and extrinsic parameters such as temperature and geometric boundary conditions. Focused Ion Beam technology both enables site-specific placement of these modulations and expedites research through fast, high dose and small efficient use of material. The DualBeam (FIB/SEM) enables in situ metrology, with movies observing ripple formation, wave motion, and the influence of surface defects. Nanostructures (ripples of >400nm wavelength to dots spaced <40nm) naturally grow from an atomically flat surface during erosion, however, a steady state size may or may not be achieved as a consequence of numerous controlled parameters: temperature, angle, energy, crystallography. Geometric factors, which can be easily invoked using a FIB, enable a controlled component of deposition (and/or redeposition) to occur during erosion, and conversely allow a component of etching to be incurred during (ion-beam assisted) deposition. High angles of ion beam inclination commonly lead to "rougher" surfaces, however, the extreme case of 90.0° etching enables deposition of picostructures (ripples of atomic spacings). The orientation and position of these naturally quantized picostructures may be controlled by the same parameters as for nanostructures (e.g. ion inclination and imposed boundary conditions, which are flexibly regulated by FIB). Judicious control of angles during FIB-CVD growth stimulates erosion with directionality that can produce surface modulations akin to those observed for sputtering. Just as the surface of diamond roughens from 1-D ripples to 2-D steps with increasing angle of ion sputtering, so do ripples and steps appear on carbon-grown surfaces with increase in angle of FIB-CVD.This work was performed under the auspices of the United States Department of Energy by the University of California, Lawrence Livermore National Laboratories under contract of No. W-7405-Eng-48. UCRL-ABS-IM348387.
12:15 PM - KK1.8
Quantitative Determination of Smoothing Mechanisms of Self-organized Sputter Ripple Patterning on Sapphire.
Hua Zhou 1 , Lan Zhou 1 , Randall Headrick 1 , Gozde Ozaydin 2 , Karl Ludwig Jr. 2
1 Physics, University of Vermont, Burlington, Vermont, United States, 2 Physics, Boston University, Boston, Massachusetts, United States
Show AbstractIon beam sputtering of solid surfaces is known to produce self-organized patterns composed of highly correlated arrays of dots or ripples. Those surface morphologies have demonstrated the potential to tailor related surface properties for optoelectronic and spintronic applications. On the other hand, one considerable practical importance of ion beam erosion is that of surface smoothing of nanometer features, during etching or film deposition coincident with energetic species. In this work, systematic investigations of ripple pattern formation and smoothing during low energy ion erosion of sapphire surfaces using in-situ synchrotron grazing incidence small angle x-ray scattering and ex-situ atomic force microscopy are demonstrated. It is found in the pattern formation that the wavelength of ripples can be varied over a remarkably wide range by tuning the experimental parameters, such as ion energy, temperature and ion incidence angle. The kinetics of ripple formation is discussed quantitatively within the linear theory regime for different surface smoothing mechanisms. An ion bombardment-induced effective downhill current model is proposed to explain the strong smoothing observed near normal incidence. Quantitative agreement is obtained using ion-collision simulations to compute the magnitude of the surface current. The results lead to predictions for the surface morphology phase diagram as a function of ion beam energy and incidence angle that substantially agree with experimental observations.
12:30 PM - KK1.9
Self-ordering of Surface Nanofacets on Vicinal 4H-SiC(0001).
Satoru Tanaka 1 , Masahiro Fujii 1
1 Applied Quantum Physics, Kyushu Univ., Fukuoka Japan
Show AbstractVicinal solid surfaces of single crystals often show regularly spaced step/terrace and facet structures and thus have been considered as a template of nanostructures in hetero-systems. For future device applications, using the surface nanostructures physics behind self-ordering phenomenon is of great importance. In particular, equilibrium faceting, including step bunching on vicinal surfaces, is an important phenomenon that contributes to periodic surface morphology in a mesoscopic scale. This can be applicable to low-dimensional confinement systems such as quantum wires and dots in semiconductors.Silicon carbide is a unique compound semiconductor that possesses polymorphism and will be used for high power and high frequency electronic devices in the next generation. We have studied vicinal SiC(0001) surfaces after high-temperature H2 etching and found self-organized nano-facet formation [1]. Energy considerations were provided, but the ordering mechanisms were not clear. In this work, we shed light on the ordering mechanisms of nano-facets on vicinal 4H-SiC having a series of vicinal angles. Nano-facet structures, ordering distances, and fluctuation in ordering at several vicinal angles are examined, and we provide a possible reason for the ordering using surface energetics [2].4H-SiC(0001) substrates with several vicinal angles of 4.2 - 7.8° were provided. Samples were etched by H2 gas and were examined by AFM and HRTEM. A typical AFM image (Fig. 1) of the nano-facet surface at the 5.7° off sample, indicating ordered nano-facet features. The ordering distance observed in the AFM image is the length of a pair of (0001) and (11-2n) nano-facet. Statistical analysis of the ordering distance L using AFM images over the length of 10 microns (~1000 pairs of nano-facets) was performed. We notice two important features from the results (Fig. 2): First, the ordering distance peaks at ~10 nm, which is the so-called “a characteristic ordering distance L0”, and is independent of vicinal angles possibly due to elastic effects on the surface [3]. Second, the degree of fluctuation of the ordering distance is at a minimum on the 5.7° off surface. The fact that there is a unique vicinal angle (5.7°) of 4H-SiC substrate reveals that highly ordered surface structures, could in turn be a characteristic of polytypes of SiC. Moreover, the degree of ordering fluctuation differed in each vicinal angle - the dependence of the vicinal angle on periodicity. The elastic theory could predict a constant characteristic ordering distance but could not explain the fluctuation behavior. This is unique in SiC, which possesses polytypes; for example, 4H-SiC has a stacking sequence of ABCB(A) along its c-axis, which results in quantized step bunching due to periodic surface energy.References:[1] H. Nakagawa et al., Phys. Rev. Lett. 91, 226107 (2003).[2] M. Fujii and S. Tanaka, Phys. Rev. Lett., in press.[3] V. I. Marchenko and A. Y. Parshin, Sov. Phys. JETP 52, 1 (1980).
12:45 PM - KK1.10
Ripples on Polyimide Induced by Focused Ion Beam.
Myoung-Woon Moon 1 , Jun Hyun Han 2 , Kwang-Ryeol Lee 1 , Kyu Hwan Oh 3 , Ashkan Vaziri 4 , John Hutchinson 4
1 Future Fusion Technology Laboratory, Korea Institute of Science and Technology, Seoul Korea (the Republic of), 2 Division of Materials Science and Engineering, KIST, Seoul Korea (the Republic of), 3 Department of Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of), 4 School of Engineering and Applied Sciences, Harvard University, Seoul, Massachusetts, United States
Show AbstractSurface modification at micron and submicron scale has drawn much attention in variety of technological applications that range from semi-conductor industry and flexible electronics to tissue engineering. Specifically for modification of polymeric surfaces, focused ion beam (FIB) irradiation can be used effectively to create variety of structural features such dots and wrinkle patterns [1]. In this study, we demonstrate the possibility of fabricating surface ripples on polymeric surface. Ripples at submicron scales were created on a polyimide substrate with thickness of about 100 microns using Ga+ FIB. By varying the beam incident angle between 0 to 90 degree and the ion fluence, different morphologies of ripples of straight, step-like shapes and hierarchical structures, were fabricated. Moreover, the current and acceleration voltage of the focused ion beam were varied between 1 to 20nA and 5 to 30keV, leading to ripples with characteristic wavelength of 200-500nm and amplitude of 10-100nm. We examined the characterization of the polyimide surface exposed to ion beam along depth using HRTEM analysis. The depth of the induced new surface layer was found to be non-uniform and gradually varied in the range of 20-50 nm depending on the relative orientation of the surface with ion beam incident angle.[1] M.-W. Moon, S. H. Lee, J.-Y. Sun, K. H. Oh, A. Vaziri, J. W. Hutchinson, Proc. Natl Acad. Sci. USA 104 (2007) 1130.
KK2: Ion Irradiation of Metals and Alloys
Session Chairs
Monday PM, November 26, 2007
Room 304 (Hynes)
2:30 PM - KK2.1
Self Organization in Irradiated Cu Alloys.
See Wee Chee 1 , Charles Enloe 1 , Brad Stumphy 1 , Pascal Bellon 1 , Robert Averback 1
1 Materials Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States
Show Abstract2:45 PM - KK2.2
Compositionally Modulated Ripples Induced by Sputtering of Alloy Surfaces.
Vivek Shenoy 1
1 , Brown University, Providence, Rhode Island, United States
Show AbstractSputtering of an amorphous or crystalline material by an ion beam often results in the formation of periodic nanoscale ripple patterns on the surface. In this letter, we show that in the case of alloy surfaces, the differences in the sputter yields and surface diffusivities of the alloy components will also lead to spontaneous modulations in composition, that can be in or out of phase with the ripple topography. The degree of this kinetic alloy decomposition can be altered by varying the flux of the ion beam. In the high-temperature and low-flux regime, the degree of decomposition scales linearly with the ion flux, but it scales inversely with the ion flux in the low-temperature, high-flux regime. This work has been accepted for publication in Physical Review Letters.
3:00 PM - **KK2.3
Ion Patterning: Kinetic Mechanisms and Persistent Puzzles.
Eric Chason 1 , Wai Lun Chan 2 , Nikhil Medhekar 1 , Vivek Shenoy 1
1 Division of Engineering, Brown University, Providence, Rhode Island, United States, 2 , University of Illinois, Urbana, Illinois, United States
Show AbstractIt is well known that low energy ion beams can induce spontaneous patterning on surfaces. However, many questions still remain about how they form and what controls their growth. We will describe some of the different mechanisms that have been proposed to control pattern formation and how they can lead to a linear instability in the early stages of pattern formation. Results of experiments and kinetic Monte Carlo simulations will be used to show how the competition between different kinetic mechanisms leads to pattern formation. A kinetic phase diagram will be presented as a way to organize the dependence on processing parameters into a coherent framework. We will also focus on deviations between the models and experiments to consider additional roughening mechanisms that may be active.
3:30 PM - KK2.4
Engineering Morphology of Surfaces by Oblique Angle Etching.
Mehmet Cansizoglu 1 , Tansel Karabacak 1
1 Applied Science, University of Arkansas at Little Rock, Little Rock, Arkansas, United States
Show AbstractDuring a typical chemical etching process growth front morphology generally generates an isotropic rough surface. In this work, we show that it is possible to form a rippled surface morphology through a geometrical self-assembly process using a chemical oblique angle etching technique. We observe in our Monte Carlo simulations that obliquely incident reactive species preferentially etch the hills that are exposed to the beam direction due to the shadowing effect. In addition, species with non-unity sticking (etching) coefficients can be re-emitted from the side walls of the hills and etch the valleys, which at the end can lead to the formation of ripples along the direction of the beam. This mechanism is quite different than the previously reported ripple formation during ion-beam bombarded surfaces where the particles have much higher energies, lower incidence angle and ripple formation is due to physical deformation of the surface. We investigate the ripple formation process in our simulated surfaces for a wide range of etching angle and sticking coefficient values.
KK3: Growth and Annealing
Session Chairs
Monday PM, November 26, 2007
Room 304 (Hynes)
4:15 PM - **KK3.1
Pattern Formation by Step Edge Barriers: The Growth of Spirals.and wedding cakes
Joachim Krug 1
1 Institute for Theoretical Physics, University of Cologne, Koeln Germany
Show AbstractFrank's ingenious suggestion of the spiral growth mode in 1949 resolved the enormous discrepancy between experimentally observed crystal growth rates and two-dimensional nucleation theory, and defined a pivotal moment in the history of crystal growth studies [1]. Recent decades have seen a resurrection of two-dimensional nucleation theory in the context of growth experiments on defect-free homoepitaxial metal films [2]. In particular, the key role of interlayer transport controlled by step edge barriers of the Ehrlich-Schwoebel (ES) type in shaping the morphology of multilayer films has been increasingly recognized. Very recently similar concepts are beginning to be developed for organic thin film growth. I will briefly describe a microscopic calculation using empirical potentials that provides the first direct evidence for the relevance of the ES effect in an organic thin film system (PTCDA) [3].In the main part of the talk I will then report on a combined experimental, computational and theoretical study of spiral growth in the presence of step edge barriers. Using a phase field model that incorporates the ES effect [4], it is shown that step edge barriers lead to unconventionally shaped spiral hillocks that display the same characteristic ever-steepening height profiles as wedding cakes formed during growth by two-dimensional nucleation [5]. By inducing screw dislocations through ion bombardment of the Pt(111) surface, a homoepitaxial growth system has been created experimentally on which spiral hillocks and wedding cakes coexist. The observed height profiles of both type of structures are consistent with the most simple analytic model of wedding cake growth [6], but due to the different physical processes at the top of the structures the spiral hillocks are consistently higher than the wedding cakes. The height difference grows as the square root of the total coverage, and can be formally quantified as an increase in the apparent step edge barrier governing the (fictitious) nucleation of new layers [7]. The talk is based on joint work with M. Fendrich, P. Kuhn, T. Michely, A. Redinger, O. Ricken, A. Rätz and A. Voigt. [1] W. Burton, N. Cabrera, F.C. Frank, Nature 163 (1949) 398.[2] T. Michely, J. Krug, Islands, Mounds and Atoms: Patterns and Processes in Crystal Growth Far from Equilibrium (Springer, 2004).[3] M. Fendrich, J. Krug, preprint arXiv:0706.1723v2.[4] F. Otto, P. Penzler, A. Rätz, T. Rump, A. Voigt, Nonlinearity 17 (2004) 477.[5] J. Krug, J. Stat. Phys. 87 (1997) 505.[6] J. Krug, Physica A 313 (2002) 47.[7] J. Krug, P. Politi, T. Michely, Phys. Rev. B 61 (2000) 14037.
4:45 PM - **KK3.2
Pattern Formation in Inorganic and Organic Semiconductor Film Growth.
Christian Teichert 1
1 Institute of Physics, University of Leoben, Austria, Leoben Austria
Show AbstractSpontaneous pattern formation in strain-induced inorganic heteroepitaxy [1-3] opens an elegant and efficient route towards fabrication of large-scale arrays of uniform semiconductor nanostructures. After a brief review on this type of pattern formation, it will be shown for the model molecule parasexiphenyl (6P) that organic semiconductor growth may result in a variety of self-organized crystallite arrays depending on substrate type and growth conditions [4]. Here, atomic-force microscopy (AFM) – applying super-sharp tips – was used to study the growth of 6P on mica (001) and TiO2(110). For 6P grown by hot-wall epitaxy on mica, the initial growth stage is characterized by a coexistence of individual crystallites (typical 100 nm x 50 nm x 20 nm in size) and self-organized crystallite chains with micrometer length. In both cases, the molecules are almost parallel to the sample surface. The chains run parallel to each other and their orientation is mediated by the surface geometry of the substrate. These straight chains form spontaneously as soon as a critical density of crystallites on the surface is present. Analysis of the chain length for different coverages reveals very narrow length distributions and the existence of a minimum chain length. The observation of denuded zones around the chains and the interior chain structure suggests that this self-alignment process is based on the rearrangement of the crystallites – containing about 140,000 molecules – as entities. This spontaneous rearrangement is driven by the formation of a one-dimensional defect array which evolves within the monomolecular para-sexiphenyl wetting layer when a critical crystallite density is reached [5]. For organic molecular beam epitaxy of 6P on TiO2(110), either needle-like growth at room temperature [6] or a striped terraces composed of upright standing molecules [4] is observed. The latter case has been explained by an interplay of sticking and diffusion anisotropy [7].[1] C. Teichert, Phys. Rep. 365 (2002) 335.[2] C. Teichert, et al., Thin Solid Films 380 (2000) 25.[3] J. Werner, Surf. Sci. 2007 in print.[4] G. Hlawacek, et al., phys. stat. sol. a 202 (2005) 2376.[5] C. Teichert, et al., Appl. Phys. A 82 (2006) 665.[6] G. Koller, et al., Adv. Mater. 16 (2004) 2159.[7] S. Berkebile, et al., Surf. Sci. 600 (2006) L313.This research is supported in the framework of the Austrian Science Fund (FWF) within the National Research Network “Interface controlled and functionalized organic films” (S9707-N08) and has been performed in collaboration with C. Hofer, G. Hlawacek, A. Andreev, (Leoben), K. Lyutovich and E. Kasper (Stuttgart), H. Sitter, N.S. Sariciftci (Linz), and A. Winkler, P. Frank, R. Resel, S. Berkebile, G. Koller, M. Ramsey (Graz).
5:15 PM - KK3.3
Self-assembly of Metallic Quantum Dots on Semiconductor Substrates.
Wei Lu 1 , David Salac 1
1 Mechanical Engineering, University of Michigan, Ann Arbor, Ann Arbor, Michigan, United States
Show AbstractNanometer scale metallic dots or clusters grown on a semiconductor substrate have wide applications in optical, electronic and magnetic devices. Production of these structures over a large area using techniques such as lithography and etching can be expensive and difficult. Recent experiments showed that uniform metallic dots may form spontaneously. Examples include Cu on TiO2 , Au on Si(111), and CoSi2 on Si(111). Despite their large collective surface area, the densely packed dots did not coalesce, but maintained small distances from one another. The observations call for a repulsive force when they are close. In a traditional quantum dot system, such as Ge dots on a Si substrate, the repulsion is achieved by elastic interaction. Both Ge and Si have the same cubic lattice structure, but their lattice constants differ by about 4%. The Ge dots deform laterally to match the Si lattice. Each Ge dot, due to its larger lattice constant, induces below it a tensile stress region in the substrate. The repulsion between these tensile stress regions keeps the dots separated.We propose a self-assembly mechanism for metallic dots. These systems may not involve coherent lattice or lattice mismatch, so that the elastic effect cannot explain the phenomena. A qualitative understanding is in the following. The metallic dots and the substrate have different Fermi levels. When they are brought in contact, charge transfer occurs. Take n-doped semiconductor as an example. Negative charges accumulate at the metal interface while a cloud of positive charges form in the substrate. This configuration is known as electric double layer. Thus, underneath a metallic dot, there is a charge cloud in the semiconductor. The exact shape and density of this cloud depends on factors such as the strength of contact potential and contact geometry. When the dot moves, the charge cloud moves with it. When two dots approach each other, the accompanying charge clouds overlap, leading to a repulsive force that prevents them to coalesce. This possibility is exciting since the repulsive force may lead to the self-assembly of a lattice of dots like colloidal crystals.Electric double layers form the basis of p-n junctions and metal-semiconductor contacts. However, the attention of existing work focuses on electronic properties. Little work has been done to investigate the self-assembly phenomena. We aim to investigate the role of electric double layers of semiconductors in controlling morphology. We show that the electrostatic and van der Waals energies lead to two regimes separated by an energy barrier. These two energies determine the size and spatial ordering. The energy barrier depends on contact potential. We demonstrate the possibility of materials selection or application of a bias voltage to the substrate to change the contact potential and thus engineer surface feature sizes. Our simulations reveal rich dynamics during self-assembly and pattern formation.
5:30 PM - KK3.4
Self-Organization and Ordering of Metallic Nanoclusters in Non-stoichiometric GaAs by Isovalent Impurity Doping.
Vladimir Chaldyshev 1 , Nikolay Bert 1 , Anton Boitsov 1 , Maria Yagovkina 1 , Valerii Preobrazhenskii 2 , Boris Semyagin 2 , Michail Putyato 2
1 , Ioffe Institute, Saint Petersburg Russian Federation, 2 , Institute of Semiconductor Physics, Novosibirsk Russian Federation
Show AbstractNon-stoichiometric GaAs films grown by molecular-beam epitaxy at low temperature are well known as the materials of choice for emission and detection of THz radiation. A specific feature of this material is a very short carrier lifetime, which originates from a high amount of non-stoichiometric arsenic. Self-organization of As nanoclusters in the bulk of the non-stoichiometric GaAs films occurs upon post-growth anneals and leads to formation of random nanocluster arrays. In this paper we study delta-doping of the GaAs films with different group III and V impurities as a technique that enables pattering of the spatial distribution of the nanoclusters and formation artificially ordered superlattices.We show that the impact of different isovalent impurities on the self-organization process depends on several key parameters. Among them the most important seem to be the strength and length of the interatomic bond of the host and impurity atoms in the matrix and nanoclusters, as well as solubility of the impurities and their equilibrium distribution between the two phases. As a result of these factors, the In and Sb impurities were found to be strong precursors for heterogeneous nucleation of two-dimensional nanocluster arrays, whereas Al and P impurities rather retard the precipitation rate. The segregation of Sb impurities in the As nanoclusters was revealed as consequence of the matrix/cluster phase quasi-equilibrium. This phenomenon was accompanied by strong enhancement of the coarsening rate and changes in the atomic structure of the nanoclusters. Different stages of self-organization and ordering were investigated by conventional and high-resolution transmission electron microscopy. We experimentally demonstrate two dimensional sheets of metallic nanoclusters in a perfect crystalline GaAs matrix and multiperiodic superlattices of the nanoclusters over the whole epitaxial films.
5:45 PM - KK3.5
Modeling Guided Self-Assembled Heteroepitaxial Growth of Quantum Dots through Surface Pre-Patterning.
Yong-Wei Zhang 1 , Ping Liu 2 , Chun Lu 2
1 Materials Science and Engineering, National University of Singapore, Singapore Singapore, 2 , Institute of High Performance Computing, Singapore Singapore
Show AbstractUniform and regular quantum dot arrays with precisely controlled positions and sizes are desired for making the template for the next generation of nanoelectronic devices. Numerous experimental works have shown that unguided self-assembled growth of quantum dots usually fails to realize perfectly ordered dot arrays. Recently more effort has been shifted to guided self-assembly through surface pre-patterning, and several pre-patterning methods have been reported. These pre-patterned surfaces are usually manifested with either ordered concave pits, or ordered convex humps, or regular strain energy profiles. It is expected that at each pit or hump, a single quantum dot can form after the subsequent growth, resulting in one pit (hump)-one dot relation. In reality, quantum dots have been found to nucleate at different positions even if an ordered pre-patterned substrate is used, often failing to produce the one-to-one relation. Hence how to reliably and reproducibly achieve ordered quantum dot arrays through surface pre-patterning is still an unsolved issue.In the present work, three-dimensional finite element method was developed to investigate the self-assembly of heteroepitaxial quantum structures on a pre-patterned substrate surface during Stranski-Krastonov growth. In the model, various factors such as strain energy, surface energy, wetting effect, surface energy anisotropy and elastic anisotropy, substrate surface pre-patterning were taken into account, and the SiGe/Si material system was used as a model system. Our computer simulations showed that various quantum dot surface patterns can be obtained by the guided self-assembled growth, including some novel surface structures such as quantum-dot automata arrays, fortress-enclosed quantum-dot automata arrays, and ordered quantum dot arrays. Parallel parametric studies have been performed to obtain the phase diagrams for obtaining various surface patterns. The present simulation work demonstrated that the coupling of surface pre-patterns, surface energy anisotropy and elastic anisotropy strongly influences the surface roughening morphology, self-assembly and shape transition of epitaxial quantum dots, resulting in diverse evolution pathways.
KK4: Poster Session I
Session Chairs
Tuesday AM, November 27, 2007
Exhibition Hall D (Hynes)
9:00 PM - KK4.1
Control of the Nanoscale Morphology of Oxide Islands by Interfacial Elastic Strain via Temperature or Alloying.
Guangwen Zhou 1 2 , Judith Yang 2 , Jeffery Eastman 3 , John Pearson 3
1 Mechanical Engineering, State University of New York at Binghamton, Binghamton, New York, United States, 2 Department of Mechanical Engineering and Materials Science, University of Pittsburgh, Pittsburgh, Pennsylvania, United States, 3 Materials Science Division, Argonne National Laboratory, Argonne, Illinois, United States
Show AbstractThe behavior of oxide islanding during metal oxidation plays a critical role in the microstructural evolution of oxide films but the mechanism underlying the oxide islanding is still to a significant degree unclear. Using the Cu(100) and Cu-Au(100) alloys as examples, we show how temperature and alloy composition can be used to tailor the shape of oxide islands formed during metal oxidation. From analysis of the observed crossover in the island morphology from triangle pyramids to square pyramids, we establish a close relationship between epitaxial stress and thermodynamic selection of nanoscale morphology of oxide islands. Our experiments were carried out in a modified JEOL 200CX ultra-high vacuum transmission electron microscope equipped to allow observation of oxidation in controlled oxygen partial pressure. The islands formed on Cu(100) at 350°C have a triangular pyramid shape, the islands formed at 750°C are square pyramids. The oxide islands obtained during oxidation of Cu-5%Au(100) at 400°C also have the triangle pyramid shape; the oxide islands formed during the oxidation of Cu-38%Au at 400°C have the square-pyramid shape. The formation energies of the pyramid and square pyramids are calculated by considering the extra surface/interface energies and the elastic relaxation in the substrate. It is found that the square pyramid has a smaller formation energy in the region with small strain and therefore is the preferred island geometry; the triangle pyramid becomes more energetically favorable in the region with large strain. The epitaxial strain decreases with increasing oxidation temperature due to the difference in the thermal expansion coefficient of Cu2O and Cu, and this leads to the formation of square oxide pyramids at the higher temperatures. Similarly, the epitaxial strain decreases with increasing Au content in the Cu-Au alloys and leads to formation of square pyramids in the alloys with sufficiently large Au fraction. The elastic strain determined from the analysis is consistent with a 6×7 coincidence-site-lattice (CSL) interface model. We expect that our results have implications for the controlled production of protective and functional oxide films through strain engineering.
9:00 PM - KK4.11
Ion Beam Induced Glancing Angle Deposition of Periodic Nanoscale Silicon Structures.
Christian Patzig 1 , Bernd Rauschenbach 1
1 , Leibniz-Institute of Surface Modification, Leipzig Germany
Show AbstractFree-standing, separated nanoscale structures such as zigzags, spirals and vertical columns are of growing interest for many possible applications. In the optical field, polarisation filters, rugate filters, antireflection coatings or especially photonic crystals based on square spiral nanostructures can be tailored with such structures, whereas in the sensing domain, such structures can be implemented in new pressure or humidity sensors. In the so-called glancing angle deposition (GLAD) process, contrary to usual thin film deposition, the particle flux reaches the substrate under a highly oblique angle. Under those circumstances, self-shadowing processes at the substrate surface (the first arriving particles shadow the region behind them) lead to the growth of separated needles, inclined towards the particle source. Combined with suitable substrate rotation, GLAD offers a relatively simple way to tailor a manifold of possible nanostructures in a bottom-up process. However, for lots of applications well-ordered, periodically arranged structures will be required, that can only be achieved via introducing certain forms of pre-patterned substrates that serve as seeding spaces for the incoming particles. Here, the ion beam induced growth of Si nanostructures such as chevrons, spirals and vertical posts for different substrate circumstances (bare substrate, electron beam lithography pre-patterned, nano sphere lithograpy pre-patterned) will be compared, and the influence of the pattern periodicity on form, diameter and periodicity of the growing structures will be discussed.
9:00 PM - KK4.12
Growth of Branched Carbon Nanostructures in Nanopatterned Surfaces Created by Focused Ion Beam.
Francisco Sola , Oscar Resto , Azlin Biaggi-Labiosa , Luis Fonseca
Show AbstractA method to grow carbon branched nanostructures arrays is presented. We employ the electron-beam-induced deposition method using a transmission electron microscope in poor vacuum conditions where hydrocarbons are present in the chamber. The hydrocarbons are attracted to the substrates by the local electric fields. The process can be monitored in real time at the nanoscale level. Saw-tooth nano-patterns were made with a focused ion beam in porous silicon substrates with high porosity in order to create nanoscale sites with high local electric fields. We demonstrate the selected growth of the branched nanostructures at the tips of the saw-tooth pattern which confirms that this method can be used for the formation of the nanoarrays. We found that the adequate ion dose to create well defined saw-tooth nano-patterns was between 2 and 8 nC/μ^2. Micro Raman studies for the branched nanostructures showed two sharp peaks near 1500 cm^-1 and 1350 cm^-1 which are consistent with the G and D peaks of amorphous carbon. Electron energy loss spectroscopy in the high loss region confirms that the nanostructures are amorphous carbon. Selected area electron diffraction and high resolution images are also presented.
9:00 PM - KK4.13
Influence of Ion Irradation on the Structure and Ordering Kinetics of L1_o Nanoparticles.
Michael Mueller 1 , Tommi Jarvi 2 , Karsten Albe 1
1 Materials Modeling Division, Institute of Materials Science, Darmstadt Germany, 2 Accelerator Laboratory, U Helsinki, Helsinki Finland
Show AbstractFePt nanoparticles are a promising candidate material for ultra high density data storage because of their huge magnetic anisotropy energy in the chemically ordered L1_o phase (fct). Particles can be prepared in ordered arrays, but are mostly disordered and also occur in multiply twinned configurations. Since thermal annealing leads to a destruction of the patterned arrays, alternative methods are needed to transform the particles into the thermodynamically stable single crystalline ordered phase. In this contribution we use atomic scale computer simulations in order to study the possibility of structural modification and enhanced ordering of L1_o nanoparticles by ion irradiation.Molecular dynamics simulations are used to investigate defect production and sputtering as well as local melting processes in twinned and single-crystalline nanoparticles. Lattice-based Kinetic Monte Carlo simulations are then employed in order to study the influence of ion-irradiation on the kinetics of the ordering process.We compare the case of He-irradation at low and elevated temperatures while taking into account defect production and sputtering yields as obtained from our MD-simulations.
9:00 PM - KK4.14
Nonlinear Dynamical Simulation of Laser-induced Self-organization in Nanoscopic Metal Films.
Justin Trice 1 2 , Christopher Favazza 1 2 , Ramki Kalyanaraman 1 2 , Radhakrishna Sureshkumar 2 3
1 Physics, Washington University in St. Louis, St. Louis, Missouri, United States, 2 Center for Materials Innovation, Washington University in St. Louis, St. Louis, Missouri, United States, 3 Department of Energy, Environmental and Chemical Engineering, Washington University in St. Louis, St. Louis, Missouri, United States
Show Abstract9:00 PM - KK4.15
Large Area Molecular Beam Epitaxial Growth of Ordered Nano-dot Arrays with Anodic Aluminum Oxide Templates on Silicon Substrates.
Se Young Jeong 2 , Mun Cheol Paek 1 , Kwang Yong Kang 1 , Do Jin Kim 2
2 Department of Materials Science Engineering, Chungnam National University, Daejeon Korea (the Republic of), 1 Tera-electronics device team, ETRI, Daejeon Korea (the Republic of)
Show AbstractWe have investigated a large area molecular beam epitaxial(MBE) growth of self-ordered nano-dot arrays of gallium arsenide(GaAs) and gallium nitride(GaN) through nano-channel arrays of anodic aluminum oxide(AAO) templates on silicon substrate. Aluminum layers of 2um thickness were sputtered on p-type silicon substrates, and followed by heat treatments at 500 C in nitrogen ambient. Two-step anodizing process in an oxalic acid electrolyte was carried out to grow AAO layers with pored nano-channel structure. The pore-to-pore distance was controlled by the anodic potential, and the pore size that is the average inner diameter of the channels was controlled by the pore-widening process of chemical etching with phosphoric acid. To obtain the aspect ratio of 1:4 or less that is the optimum condition we decided for MBE growth of quantum dot, we have determined the inner diameter and the length of the AAO channel to be 60nm and 200nm, respectively. The length of the channel, same as the thickness of the final AAO layer, was controlled by the 1st anodizing time. It was found that the quality of the AAO was strongly affected by the heat treatment time of as-sputtered aluminum layers. Measurements of x-ray diffraction pattern and photoluminescence for the AAO templates revealed that there exist a proper heat treatment time, 30min in this work. We have grown GaAs nano-dot arrays on AAO templates/Si by controlling the Ga flux and the substrate temperature in an MBE chamber of As-rich ambient. The growth rate of the GaAs nano-dots in the nano channels seemed to be highly influenced by the flux of Ga source. At relatively higher Ga flux, it was shown that shadow effects prevent the growth of the GaAs nano-dots in the AAO channel. And we could obtained a result that there exist the maximum Ga flux for the growth, and that the lower the Ga flux, the higher the growth rate of the GaAs nano-dots. Also we could find out that the total growth rate of the GaAs nano-dots strongly depends on the temperature of the substrate. In the temperature range of our experiments, 280 C to 650 C, it showed that the lower the temperature, the higher the growth rate of the GaAs nano-dots. GaN nano-dots were grown by using single-precursor and the growth rate was controlled by the flux of GaN source and the substrate temperature. The growth rate of GaN nano-dots also showed the same results as the GaAs case, where at the lower source flux and temperature, it showed higher growth rate. It suggests that the re-evaporation rate of the deposited GaAs or GaN nano-dots is higher than the deposition rate at higher temperature. And with higher flux of the sources, shadow effect prevents the GaAs or GaN particles from depositing through the nano channels.
9:00 PM - KK4.16
Characterization of Nanopores in Fluorpolymer Membranes Produced by Ion Beam Modification.
Renato Minamisawa 1 , Robert Zimmerman 1 , Daryush Ila 1
1 Department of Physics, Alabama A&M University, Huntsville, Alabama, United States
Show Abstract9:00 PM - KK4.17
Topographical Transitions on Si and Ge Surfaces by Changes of Secondary Ion Beam Parameters.
Bashkim Ziberi 1 , Frank Frost 1 , Michael Tartz 1 , Horst Neumann 1 , Bernd Rauschenbach 1
1 , Leibniz-Institut für Oberflächenmodifizierung, Leipzig Germany
Show AbstractLow-energy (Eion ≤ 2000 eV) ion beam erosion of solid surfaces is a very effective alternative approach for the generation of self-organized nanostructures. It is well known that under certain conditions, sputtering can produce well-ordered patterns, like ripples or dots on different materials [1-4]. Due to the simplicity of the method, and the possibility to produce large-area nanostructured surfaces the process offers a simple and cost-efficient route for nanostructuring of surfaces. Usually this pattern formation process is considered as a result of the interplay between curvature dependent sputtering that roughness the surface, and different surface relaxation mechanisms that act to smooth the surface. The formation, size, lateral ordering, and type of nanostructures depends on the process parameters like ion energy, ion incidence angle, erosion time, etc. Recently, a new secondary parameter that influences the evolution of the surface topography is identified [6]. Namely, the angular distribution of ions within the beam generated by a broad beam ion source. This parameter is more easily to control by the voltage (Uacc) applied on the second grid of the ion optical system of the broad beam ion source. The role of Uacc will be discussed for pattern formation on Si and Ge surfaces (using Xe+ ions) and for the particular experimental settings. The experimental results show a complex behavior of the surface topography and transitions between different types of pattern. Additionally, the voltage applied on the first grid, that determines the ion energy, influences also the angular distribution of ions. These experimental observations are supported by simulations of the angular distribution of ions for different process parameters.[1] S. Facsko, et. al., Science 285, 1551 (1999).[2] F. Frost, A. Schindler, and F. Bigl, Phys. Rev. Lett. 85, 4116 (2000).[3] R. Gago, et. al., Appl. Phys. Lett. 78, 3316 (2001). [4] B. Ziberi, et. al., Phys. Rev. B 72, 235310 (2005).[5] B. Ziberi, F. Frost, and B. Rauschenbach, Appl. Phys. Lett. 88, 173115 (2006)[6] B. Ziberi, et. al. (in preparation).
9:00 PM - KK4.18
Stress in Pt Thin Films Induced by Low Energy Ion Irradiation.
Wai Lun Chan 1 , K. Zhao 1 , V. Nhon 1 , D. Cahill 1 , R. Averback 1
1 Department of Materials Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois, United States
Show AbstractLow energy ion irradiation is being widely used as a processing tool for surface preparation and fabrication of nano-structures. However, the stress induced by low energy ions is not well characterized. Since ion-induced stress can be a driving force for processes such as pattern formation on ion-irradiated surfaces or dewetting of nano-structures, knowing the amount of stress induced during irradiation becomes important. We utilize an in-situ wafer curvature measurement technique to study the stress evolution in Pt thin films induced by various noble gas ions (He, Ne, Ar, Kr, Xe) with energies ranging from 0.5keV to 4keV. We find that a steady state stress on the order of a few GPa can be induced. One of the significant findings is that the stress can be tensile or compressive, depending on the species of ions, ion energies, and most surprisingly on the initial stress in the films. The experimental data also suggests that the stress can be induced at a depth beyond the implantation depth of the ions. The observed behaviors are explained by the interplay between the thermal spike created in the collision cascade and stress-dependent diffusion rate of the ion-induced interstitials. MD simulations are used to study the details of these different atomistic processes and how they are related to the measured stress.
9:00 PM - KK4.19
Wrinkle Patterns on a Soft Polymer Created by Broad Ion Beam.
Myoung-Woon Moon 1 , Kwang-Ryeol Lee 1 , Ashkan Vaziri 2 , John Hutchinson 2
1 Future Fusion Technology Laboratory, Korea Institute of Science and Technology, Seoul Korea (the Republic of), 2 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States
Show AbstractIt has recently been shown that focused ion beam (FIB) irradiation induces a wrinkled skin on the surface of polydimethylsiloxane (PDMS)[1]. This provides a robust technique for creating wrinkling patterns on selective areas of PDMS by simply exposing the polymeric substrate to the ion beam. However, the area exposed to ion beam is confined to 500 by 500 micron square in this technique due to the magnification limitation of the FIB system, which is a key factor in its technological applications. To overcome this restriction, here we extended our technique by using broad ion beam of Ar, which is a general plasma ion source for industrial applications using plasma-enhanced chemical vapor deposition (PECVD). Using this method, we showed that wrinkled stiff skins with wavelength in the range of 20nm to submicrons can be fabricated on the surface of a flat 3cm by 3cm PDMS with thickness of 2mm. The wavelength of the wrinkling patterns was controlled by varying the acceleration voltage between 100 to 1000eV using PECVD. Moreover, the evolution sequences from the dot arrays to hierarchical shape of wrinkle patterns were observed by increasing the ion beam power. The induced strains by ion beam was estimated by measuring the surface area with AFM. The change in the chemical composition of the polymeric surface after ion beam exposure was also examined using XPS depth profiles. The thickness and stiffness of the stiffened surface layer were estimated using a simple theory for wrinkled thin films on compliant substrates. A potential application of this technique was also demonstrated by employing broad Ar ion beam treatment on PDMS surface pre-patterned with a submicron scale column arrays using a conventional lithography-stamping technique. Ion beam irradiation results in fabrication of nanoscale wrinkle patterns on top of the columnar structures.[1] M.-W. Moon, S. H. Lee, J.-Y. Sun, K. H. Oh, A. Vaziri, J. W. Hutchinson, Proc. Natl Acad. Sci. USA 104 (2007) 1130.
9:00 PM - KK4.2
Strain-assisted Formation of Nano-scaled Lamellar Structure in Ti-39at%Al Single Crystals.
Yuchiro Koizumi 1 2 , Takayuki Tanaka 1 , Yoritoshi Minamino 1
1 Department of Adaptive Machine Systems, Osaka Univsersity, Suita, Osaka, Japan, 2 Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractTi-Al alloys have been developed as high-temperature and light-weight structural materials but they have a potential to be used as functional materials such as high performance electrodes, filters and templates for slit-arrays of polymers owing to their ability to form nano-scaled slit-array by electrochemical selective etching of lamellar structures composed of α2-Ti3Al and γ-TiAl, as demonstrated in the accompanying paper (“Formation of Nano-scaled Slit Array by Selective Etching of Lamellar Ti-Al single crystals”). However, the process for obtaining desired lamellar structure which determine the dimension of the resultant slit-arrays are yet to be explored. For instance, although finer lamellar structure can be obtained by annealing at lower temperature, the time for obtaining lamellar structure increases exponentially with decreasing annealing temperature. Lamellar structures can be obtained more rapidly by annealing at higher temperature, but the resultant lamellar spacing increases with increasing annealing temperature. We have studied the effects of straining prior to annealing for lamellar structure formation intending to accelerate the nucleation of γ-TiAl phase from α2-Ti3Al phase via preferential nucleation at dislocations in order to decrease the resultant lamellar spacing. In a crystal cold-rolled to 10% reduction before annealing, very fine lamellar structure with the average spacing of 88 nm was formed by annealing at 1073 K for 1×104 s while no lamella were observed in the crystal subjected to the same annealing without cold-rolling. Furthermore, average lamellar spacing as small as 50 nm was achieved by annealing the strained crystal at 1073 K for 5×104 s while the lamellar spacing in the crystal annealed without straining was still larger than 100 nm. In addition, it is also demonstrated that when the crystal is locally strained by indentation the lamellar structure with the average lamellar spacing of 43 nm is formed only around the indentation by annealing at 1073 K for 1×104 s while there are no lamella away from it. This implies that distributions of lamellae and slit-array formed from them can be controlled by controlling the distribution of the local strain before annealing. Approaches to control the distribution of lamellae and resultant slits more precisely are proposed.
9:00 PM - KK4.20
Investigation of Shape Engineering in InAs Quantum Dots Using Various Capping Materials.
Thomas Vandervelde 1 , Jiayi Shao 1 , Andreas Stintz 1 , Sanjay Krishna 1
1 Center for High Technology Materials, University of New Mexico, Albuquerque, New Mexico, United States
Show Abstract9:00 PM - KK4.22
Level Set Simulation of Vertical-Organization and Self-Assembly of Stacked Quantum Dots.
Xiaobin Niu 1 , Young-Ju Lee 2 , Russel Caflisch 1 2 , Christian Ratsch 2
1 Department of Materials Science and Engineering, University of California, Los Angeles, Los Angeles, California, United States, 2 Department of Mathematics, University of California, Los Angeles, Los Angeles, California, United States
Show Abstract We study the strain effect on the vertical and lateral self-organization of nanoscale patterns and stacked quantum dots during epitaxial growth. The computational approach is based on the level set method and in combination with an atomistic strain code. Strain changes the Potential Energy Surface (PES) and microscopic parameters during growth, and thus determines the nucleation sites of islands and dots. In particular, we find that strain based “memory” leads to vertical alignment as well as lateral organization. Moreover, our simulations suggest that there is an optimal thickness of the capping layer to get the best alignment and most uniform size distribution of the stacked quantum dots.
9:00 PM - KK4.24
Selective Growth of Self-Assembled Quantum Structures & Quantum Dot Ensembles on Nanoscale Patterned Surface.
Jihoon Lee 1 2 , Zhiming Wang 2 , Baolai Liang 1 2 , Kimberly Sablon 1 2 , Neil. Strom 1 , William. Black 1 2 , Vasyl Kunets 2 , Yuiry Mazur 2 , Gregory Salamo 1 2
1 Microelectronics & photonics, University of Arkansas, Fayetteville, Arkansas, United States, 2 Materials Research Science and Engineering Center (MRSEC), University of Arkansas, Fayetteville, Arkansas, United States
Show AbstractThe research investigations and progresses in nanotechnology and the efforts to fabricate novel nanostructures are expected to provide new perspectives into the essential understanding of underlying science of the formation of nanostructures and thus of the potential of the next generation device applications. The potential impact of the nanotechnology could be extremely enormous and thus fabricating, engineering and designing new nanostructures have attracted a tremendous attention from a number of research fields. Although the synthesis of nanostructures has been wealthily studied and demonstrated a wide variety of singular nanostructures, further investigations and developments of the assembly and manipulation of singular nanostructures have been somewhat deficient. In some cases, selective growth and/or localization of nanostructures are necessary for device applications. It is also anticipated to demonstrate novel optoelectronic properties when two nanostructures are brought together such as absorption spectrum shift, the enhancement of emission, heating and melting processes of surrounding matrix and so forth. From the synthesis perspective, the combination of self-assembly and nano-scale patterns can provide promising routes to generate tailored nanostructures and the ensembles of nanostructures in both bottom-up and top-down approaches. Therefore, the use of nano-scale patterns to guide the formation of nano- and quantum-structures has attracted considerable attentions.We demonstrate several distinctive nano- and quantum-structures and the ensembles of nanostructures by adapting both nano-scale pattern formation and self-assembly by molecular beam epitaxy. Some of the demonstrated results employ photolithographically constructed ‘nano-scale patterns’ as a top-down approach and the rest utilize ‘self-assembled nano-scale patterned templates’ as a bottom-up approach. More specifically, the localized formations of quantum structures include self-assembled InAs quantum dots (QDs), InGaAs quantum dot chains, GaAs quantum wires on photolithographically nano-patterned GaAs (100) surfaces as a top-down approach. As a bottom-up approach, the various ensembles of nanostructures consist of InAs QD clusters, hybrid molecules consisting of Ga metal particles and InGaAs quantum rings, InGaAs quantum dot molecules and super low-density QDs by adapting self-assembled ‘nano-scale patterned templates’. All of the synthesized quantum- and nano-structures and the ensembles of nanostructures utilize self-assembly method on various patterned-surfaces and the surface morphology was investigated by atomic force microscopy. These demonstrated results provide the understanding the formation of selective growth of various quantum structures on ultra-shallow patterns and of various ensembles of nanostructures on self-assembled nano-scale patterned templates, which can find applications in optoelectronics.
9:00 PM - KK4.25
The Effect of Strain on Surface Reconstructions in Compound Semiconductor Alloys.
Jessica Bickel 1 , Normand Modine 2 , Anton Van Der Ven 1 , Chris Pearson 3 , Joanna Mirecki Millunchick 1
1 Department of Materials Science Engineering, University of Michigan, Ann Arbor, Michigan, United States, 2 , Sandia National Laboratories, Albuquerque, New Mexico, United States, 3 Department of Computer Science, Engineering Science and Physics, University of Michigan, Flint, Michigan, United States
Show AbstractSurface reconstructions are very important to a variety of materials systems and have been extensively studied in compound semiconductor systems due to their effects on film growth. It is generally accepted that three principal factors influence the stable surface reconstruction: local chemistry, the electron counting rule, and local strain due to the displacement of atoms from their bulk positions. These factors readily explain the surface reconstructions present in binary III-V semiconductor systems. However, when alloying occurs, surface coexistence of multiple reconstructions and new reconstructions not observed for the binary systems suggest that other factors also influence the surface. We propose that global lattice mismatch strain and localized atomic size mismatch strain are additional factors that greatly influence the stable reconstruction of compound semiconductor alloys.InAs and GaAs both form stable surfaces of a single reconstruction varying from c(4x4) to β2(2x4) to α2(2x4) to (4x2) as a function of chemical potential μ. The ternary alloy InGaAs, however, shows multiple reconstructions for a single μ, with a (4x3) reconstruction unique to the alloy system coexisting with a β2(2x4) for In0.81Ga0.19As/InP and what appears at first glance to be an α2(2x4) reconstruction for In0.27Ga0.73As/GaAs. Studies of the α2(2x4) show that the surface dimer, rather than being stochastically distributed between the two possible positions instead regularly alternates position 80% of the time. Ab Initio studies based on the Kohn-Sham Density Functional Theory (DFT) show that localized strain due to ordering of Indium atoms in the first subsurface layer induces an ordering of the surface dimers. These results demonstrate the important influence of atomic size mismatch strain on the surface reconstructions of alloyed compound semiconductor systems.A coexistence of surface reconstructions also occurs when thin films of GaSb are grown on GaAs. Terraces of an α2(2x4) reconstruction develop with an α(4x3) reconstruction at the edges. The film develops by nucleating small islands of α(4x3). The center of these islands transform to the α2(2x4) reconstruction above the critical island size of 30±10nm2. The lattice mismatch of film and surface is 7%, and DFT simulations suggest this drives the surface coexistence. The α(4x3) is stable at the GaSb lattice parameter, whereas the α2(2x4) is stabilized at the GaAs lattice parameter. At the center of the island where the lattice parameter is constrained to that of the substrate, the α2(2x4) appears, whereas at the edge of the island where the lattice parameter can elastically relax, an α(4x3) reconstruction appears. This demonstrates the important influence of lattice mismatch strain on the surface reconstructions of alloyed compound semiconductor systems.
9:00 PM - KK4.26
The Development of Nanodomains on the Surfaces of Lattice Mismatched Films.
Joanna Millunchick 1 , Lee Sears 1 , Normand Modine 3 , Chris Pearson 2
1 Material Science and Engineering, University of Michigan, Ann Arbor, Michigan, United States, 3 , Sandia National Laboratory, Albuquerque, New Mexico, United States, 2 , University of Michigan, Flint, Michigan, United States
Show AbstractIn this paper, we show that elastic relaxation and an anisotropic boundary energy results in the stabilization of multiple surface reconstructions in lattice mismatched InGaAs films. The resulting structure consists of a random array of nanodomains across the surface. In the absence of the elastic relaxation and anisotropic boundary energies, thermodynamics predict that there should be only one surface reconstruction, the one with the lowest surface energy. Despite this, Scanning Tunneling Micrographs show that the surfaces of In0.81Ga0.19As /InP are comprised of both β2(2x4) and a (4x3) reconstructions over a large range of growth and annealing conditions. For example, the surface of a h=30ML film of In0.81Ga0.19As /InP deposited at T=495°C primarily consists of a (4x3) surface reconstruction. However, 22.3% of the surface is covered by rectangular domains of β2(2x4) that are on average 575 Å2 in size. We will present a thermodynamic model shows that the rectangular shape arises due to an anisotropy in the boundary energy between the two reconstructions. The ratio of the length and width of the β2(2x4) domains increases with increasing domain area, indicating that there is also an elastic relaxation between the two reconstructions. The model shows that this coexistence of the reconstruction domains may be globally stabilized as long as the elastic relaxation is suitably large. Furthermore, experimental data shows that there is a temperature dependence of the aspect ratio as a function of domain area. This suggests that entropy in the boundary energy also plays a role in stabilizing the nanodomains.
9:00 PM - KK4.27
Mechanics of the Self-assembly Process of BZO Nanostructures in YBCO Thin Films.
Yanfei Gao 1 2 , Amit Goyal 3 , Jianxin Zhong 2 3 , G. Stocks 2 3
1 Department of Materials Science and Engineering, University of Tennessee, Knoxville, Tennessee, United States, 2 Computer Science and Mathematics Divsion, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States, 3 Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee, United States
Show AbstractA recent experiment demonstrates the fabrication of self-assembled BaZrO3 (BZO) nanodots or nanorods embedded within YBa2Cu3Ox (YBCO) superconducting films that give rise to significantly improved high-temperature superconductivity properties. An Eshelby-type formulation of elastic interaction, together with the Cahn-Hilliard framework, has been developed to simulate the self-assembly process. The microstructural evolution is understood as a simultaneous phase-separation and ordering process. The dependence of the vertical alignment of BZO nanostructures on lattice mismatch and spacer layer thickness compares favorably to the experiments.
9:00 PM - KK4.28
Mechanics Analysis of SiGe Quantum Dot Ordering on Ultrathin Si Nanomembranes.
Hyun-Joon Kim-Lee 1 , Donald Savage 1 , Clark Ritz 2 , Kevin Turner 1
1 Materials Science Program, University of Wisconsin, Madison, Madison, Wisconsin, United States, 2 Physics, University of Wisconsin, Madison, Madison, Wisconsin, United States
Show AbstractStrain-induced self-assembly of semiconductor quantum dots (QDs) is a promising route to create large ordered arrays of nanoscale structures. Recently, ordered SiGe QD arrays on the surfaces of freestanding ultrathin silicon nanomembranes (thickness h=6-23nm) have been demonstrated experimentally. This result is significant and unique because well-ordered QD arrays were formed without multilayer stacking. While vertical and lateral ordering of QDs in compositional semiconductor superlattices, such as SiGe/Si multilayers, has been examined theoretically, these models do not explain the recent results of ordering on freestanding membranes. In the current study, the strain and strain energy distributions around SiGe huts on freestanding nanomembranes are calculated using a 3-D finite element model in order to examine the origin and control of the experimentally observed double-sided ordered island formation.The 3-D finite element model consists of an ultrathin (h=5-100nm) Si nanomembrane, SiGe wetting layer on each side of the membrane, and pyramidal SiGe islands (island height = 5-10 nm) on the surfaces of the nanomembrane. The lattice mismatch between the QDs and membranes produces strain fields on the top and bottom surfaces of the membranes. As QD nucleation is more thermodynamically favorable where the surface offers smaller misfit strain with the island, the position of subsequent islands that will form can be predicted. From an analysis of a single QD on a membrane, it was found that it was preferential for subsequent QD islands to nucleate on the opposite surface near the corner of the first island. The model results also show that the elastic anisotropy of the Si affects this strain distribution and plays a role in ordering. These predictions agree well with experimental results of Si0.36Ge0.64 islands on 23 nm thick membranes.Several parametric studies have been performed using the finite element model to explore possibilities to control the ordering. The effects of membrane thickness, composition of the SiGe alloy, membrane geometry, and edge condition on the strain energy distribution were investigated. A significant result is that the position of the nucleation site moves further away and the driving force for nucleation decreases as the membrane thickness increases, which implies that the distance between the top and bottom QD islands can be controlled with the membrane thickness.
9:00 PM - KK4.29
Fabrication of Well-ordered Si Pyramids by Nanosphere Lithography and Dry Etching.
Ho-Yen Hsieh 1 , Sheng-Huang Huang 1 , kuo-Feng Liao 1 , Chih-Huang Lai 1 , Lih-Juann Chen 1
1 , National Tsing Hua University, Hsinchu Taiwan
Show AbstractNi nanodot arrays on silicon have been fabricated by nanosphere lithography. The patterned substrates were etched by the dry etching method to form Si pyramids arrays. Etching gas, a mixture of CF4 and O2, was found to affect the morphology of the Si nanopyramids. The width of the bottom and the height of the pyramid can be varied with the etching gas. The Si pyramid arrays exhibit superb field emission properties.
9:00 PM - KK4.3
Nanoscale Corrugation of a Graphene Monolayer Regulated by Underlying Substrate.
Teng Li 1 2
1 Department of Mechanical Engineering, University of Maryland, College Park, Maryland, United States, 2 Maryland NanoCenter, University of Maryland, College Park, Maryland, United States
Show AbstractRecent experimental discovery of graphene has provoked enormous interest in both the basic science and the tantalizing applications of this unique material with exceptional electronic properties. It has recently been shown that, a graphene monolayer supported by an insulating substrate is corrugated, in a pattern nearly following the substrate surface but with a smaller roughness. We describe a theory to determine the resulting nanoscale corrugation of the graphene monolayer, regulated by the surface morphology of the underlying substrate. The interaction between the graphene monolayer and the underlying substrate is characterized by the cohesive energy of their interface, which can be derived from the pair potential between the carbon atoms in the graphene monolayer and the building units of the substrate material. The cohesive energy minimizes if the graphene monolayer fully conforms to the substrate surface, with an equilibrium distance from each other. On the other hand, the corrugation of the graphene monolayer leads to the increase in strain energy, due to its structural rigidity. As a result, the corrugation of the graphene monolayer selects an equilibrium morphology to minimize the combined interface energy and strain energy. We quantify the effects of the amplitude and the wavelength of the substrate surface roughness, and the bonding energy between the graphene and the substrate, on the nanoscale corrugation of the graphene monolayer. The theory can serve as guidelines to form desired nanoscale patterns in graphene sheets by controlling surface morphology of the substrates. The graphene sheets with controlled morphology allow fine-tuning their transport properties, holding the promise of a wide range of study into graphene-based nanoelectronic devices.
9:00 PM - KK4.4
Studies on Dewetting Behavior in the Silica/Rutile System.
Jessica Riesterer 1 2 , Shelley Gilliss 3 , N. Ravishankar 4 , C. Carter 1
1 Chemical, Materials Science & Biomolecular Engineering, University of Connecticut, Storrs, Connecticut, United States, 2 Chemical Engineering and Materials Science, University of Minnesota, Minneapolis, Minnesota, United States, 3 , Robins, Kaplan, Miller and Ciresi, L.L.P., Minneapolis, Minnesota, United States, 4 Materials Research Centre, Indian Institute of Science, Bangalore India
Show AbstractThe silica/rutile (SiO2/TiO2) materials system is key in gas sensors and catalysis. However, the behavior of thin silica films on the rutile surface is not fully understood. The patterns these droplets form depend on orientation, film thickness and impurity levels. The present study is examining how each of these parameters may change the dewetting behavior. Silica films grown to be either 100 nm or 200 nm in thickness are heat treated at 1600oC for 2 hr. Pulsed-laser deposition (PLD) and plasma-enhanced chemical vapor deposition (PECVD) were used to deposit silica films at room temperature onto (001) and (110) rutile surfaces. During heat treatment, the films are kept clean either by encasing the samples in a Pt crucible; other films were Al-doped by thermal treatment in an alumina crucible. In all cases, complex dewetting patterns, on both the nano- and meso-scales, form on the surface. Atomic force microscopy (AFM, primarily in the contact mode) is used to determine the pattern formed and its length scale. Whether the silica droplets are spreading or dewetting the rutile surface is examined using patterned films.
9:00 PM - KK4.5
Percolation of Diffusionally Evolved Two-Phase Systems.
Victor Brunini 1 , Christopher Schuh 1 , W. Carter 1
1 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractAlthough the phase fractions in dual phase systems are often compared with the percolation threshold for a randomly-assembled composite, most two-phase systems are non-random by virtue of correlations introduced during processing, or as a consequence of microstructural evolution. This study examines the two dimensional percolation threshold in systems with soft impingement, i.e., when the phase distribution is affected by diffusional interactions between growing second phase particles. Phase field modeling is used to simulate the nucleation and growth process, with many simulations conducted at various system sizes and equilibrium phase fractions to obtain percolation probabilities. The value of the percolation threshold in the thermodynamic limit is estimated based on the finite size scaling behavior of the system. The values of the critical exponents β, γ, and ν are also estimated.
9:00 PM - KK4.6
Macroscopic 2D Design of Micro/Nano Grain Architectures by Laser Interference Metallurgy.
Frank Muecklich 1 , Andres Lasagni 1 , Rodolphe Catrin 1 , Carsten Gachot 1
1 Materials Science and Engineering, Saarland University, Saarbruecken, Saarland, Germany
Show AbstractTailoring of micro/nano structures and surface functionalization are key goals in surface processing of materials. A new technology for a unique geometrically precise 2D micro/nano design of grain architectures is presented. By means of super lateral grain growth crystalline lattice pattern, line pattern or dot like pattern are generated. The grain dimensions may be chosen between a few nanometers and about 10 micrometers. The phase and grain formation is characterized by EBSD in terms of orientation distribution and texture formation. FIB technology enables the investigation of depth distribution of these parameters. Laser Interference Metallurgy (1) is a rather new surface processing technology, allowing a quick as well as direct structuring of geometrically precise periodical and long range ordered microstructures on macroscopic areas. In this technique the high power nanosecond Laser pulse is split into several coherent sub beams which interfere on the surface of the sample. The shape and the dimensions of the interference pattern as well as its periodicity can be controlled by the angular and the intensity arrangement of the individual sub beams. The intensity distribution in the lateral as well as the depth direction is calculated by thermal simulation. Due to the precisely determined laser intensity distribution the microstructure in terms of controlled recrystallization and phase formation can be designed. Thus, texture, residual stresses and also topography may be controlled and various functional properties on macroscopic areas can be tailored in a unique way.(1) F. Muecklich, A. Lasagni, C. Daniel: Laser Interference Metallurgy - Using Interference as a Tool for Micro/Nano Structuring, Z.Metallkunde 97(2006)10, 1337-1344
9:00 PM - KK4.7
Laser Printing Nanoscale Electronic Structures.
Bradley Ringeisen 1 , Christina Othon 1 , Arnaldo Laracuente 1 , Doug Ladouceur 1
1 , NRL, Washington, District of Columbia, United States
Show AbstractA novel approach to submicron device printing has been developed through use of a modified Laser Induced Forward Transfer (LIFT) system. LIFT is a versatile tool that has the demonstrated ability to deposit materials of diverse physical properties including biologicals, metals, and polymers on the microscale. Our approach takes advantage of the versatility of LIFT but uses a unique experimental design allowing for deposition as selectable “drop-and-place” single features or large-scale parallel printing. Also the printing process is independent of sample fabrication, allowing for the construction of multilayer devices. The system consists of a femtosecond laser pulse (λ = 800 nm, 0.6 mJ/pulse) incident on an ultra-thin quartz target substrate (25µm) that has been coated with a thin film of chrome (40-80 nm). On the opposite side of the quartz, a self-assembled, close-packed monolayer of monodisperse polystyrene microspheres (diameter=113-156 µm) was deposited. The microspheres focus the incident laser beam to a sub-micron diameter at the interface of the chrome and quartz. The focused energy is then adsorbed at the quartz/metal interface, resulting in an energetic ablative process that propels the remaining chrome film down onto a receiving substrate below. The short pulse length minimizes thermal transport in the chrome film, improving the quality of deposition. The deposited metal pads are characterized using Auger electron spectroscopy and Scanning Electron Microscopy (SEM). By varying the bead size and incident laser energy, we were able to control the diameter (500 nm – 5 µm) and quality of the deposited features.We envision extending this process to the deposition of heterogeneous multilayer structures of diverse materials (semiconductors, organics, etc.). The printing approach is independent of the procedures needed to deposit a thin film, allowing materials with very different deposition requirements to be combined in the same device. This technique greatly extends the ability to build nanostructures on diverse surfaces and could enable complex nanostructures to be deposited in a single step.
9:00 PM - KK4.8
Pattern Formation in Si/Cr/Au Thin Film System.
Adam Bernfeld 1 , David Gracias 1 , Alex Volinsky 2
1 Chemical and Biomolecular Engineering, John Hopkins University, Baltimore, Maryland, United States, 2 Mechanical Engineering, University of South Florida, Tampa, Florida, United States
Show AbstractThin evaporated gold films on top of chromium-coated silicon wafers were heated in ambient environment to 500C, which resulted in the formation of several patterns. Highly-ordered patterns of concentric circles were formed from arrays of sub-micron holes in the silicon substrate. The chromium layer diffused through the overlying gold layer, which locally decreased the distance between the gold film and the silicon substrate resulting in Au-Si eutectic formation. The resulting pattern depends on the ratio of the Au/Cr film thicknesses. Possible applications of this novel pattern formation process are discussed.
9:00 PM - KK4.9
Effect of the Surface Nanomorphology on the Growth of Al Whiskers Formed by Glancing Angle Deposition on a High Temperature Substrate.
Kenji Hamachi 1 , Motofumi Suzuki 1 , Kaoru Nakajima 1 , Kenji Kimura 1
1 Micro Engineering, Kyoto University, Kyoto Japan
Show AbstractRecently, we found that unusual Al whiskers grow by glancing angle deposition on a high temperature substrate (HT-GLAD) [1]. When Al was deposited on the heated substrate at a glancing angle, single crystalline Al whiskers with a thickness of 30 nm – 500 nm and a length up to about 10 μm grew nevertheless the average thickness of the deposited Al was thinner than a few 10 nm. It has also been revealed that a significant amount of Al is supplied to and removed from the whiskers through their base by surface diffusion during and/or after the growth of the whiskers. If a surface morphology of the substrate for whisker growth is systematically controled in nm scale, the surface diffusion of adatoms is modified significantly. As a result, it is expected that the important information on the nucleation mechanism of Al whiskers is available. In this work, we have investigated the effect of the surface nanomorphology on the growth of Al whiskers by HT-GLAD. In order to control the surface nanomorphology of the substrate, we took advantage of the columnar growth of the conventional oblique angle deposition at low temperature. First of all, SiO2 was deposited on Si substrate at room temperature from normal direction up to 50 nm in thickness. This flat SiO2 surface was roughened by the following oblique deposition at RT at deposition angles of 79°, which is measured from surface normal. The roughness was changed by changing the amount of the obliquely deposited SiO2. Due to the self-shadowing and fan-out effect, SiO2 tends to grow in the isolated columns, and their thickness became larger with increasing the amount of the obliquely deposited SiO2. After the substrate was heated by a halogen lamp to 390 °C, Al was deposited at the deposition angle of 85° up to 23 nm in average thickness. We investigated the sizes and the number of whiskers existing in the unit area for each sample. Although the number of Al whiskers longer than 2 μm was almost independent of the substrate roughness, the number of Al whiskers shorter than 1 μm increased significantly for the samples prepared on the roughened surface. The number of short whiskers reaches the maximum at the amount of obliquely deposited SiO2 of 10 nm in average thickness. This can be attributed to the increase of adatom density due to the reduction of the area exposed to the incident vapor of Al.[1] M. Suzuki, K. Nagai, S. Kinoshita, K. Nakajima, K. Kimura, T. Okano, and K. Sasakawa, Appl. Phys. Lett. 89,133103 (2006)
Symposium Organizers
Michael J. Aziz Harvard University
Jerrold A. Floro University of Virginia
Stefan G. Mayr Georg-August-Universitaet Goettingen
Christopher C. Umbach Cornell University
KK5: Group IV Heteroepitaxy
Session Chairs
Joanna Mirecki-Millunchick
Tuesday AM, November 27, 2007
Room 304 (Hynes)
9:30 AM - KK5.1
Organized Lattice of SiGe QDs Produced in a Single Growth step on Free-standing Ultrathin Si Nanoribbons.
Clark Ritz 1 , Douglas Detert 2 , Chung-Hoon Lee 3 , Decai Yu 4 , Donald Savage 2 , Paul Evans 2 , Feng Liu 4 , Max Lagally 1 2
1 Department of Physics, University of Wisconsin, Madison, Wisconsin, United States, 2 Department of Materials Science and Engineering, University of Wisconsin, Madison, Wisconsin, United States, 3 Department Of Electrical and Computer Engineering, California State University, Fresno, California, United States, 4 Department of Materials Science and Engineering, University of Utah, Salt Lake City, Utah, United States
Show AbstractThin free-standing Si nanomembranes and nanoribbons provide a novel opportunity to control the self-organization of SiGe islands. We use chemical vapor deposition (CVD) to grow Stranski-Krastanov 3D QD islands on the surface of ultrathin freestanding Si membranes or ribbons with thicknesses ranging from 6 nm to 25 nm. Because these thin membranes are free-standing and because CVD deposits material on any surface exposed to vapor phase precursors, Ge QDs grow on both the top and bottom surfaces of the membrane. In this free-standing geometry a spontaneous organization of the QDs occurs in a single growth step because the strain induced in the membrane by a QD growing on one side is transmitted through the thin Si and influences the nucleation and growth of the islands forming on the opposing surface. [1] The resulting lattice of QDs is highly ordered over an extended region and oriented relative to the “soft” [100] crystallographic direction of the Si membrane, as is shown by the pair correlation function of pairs of QDs on opposite surfaces. The QD lattice produces a strain lattice in the Si, which in turn produces an electronic superlattice in the Si nanomembrane or nanoribbon substrate. First-principles calculations show significant local strain and local modification of the bandstructure in response to a QD nanostressor. The periodicity of these variations may give rise to minibands and other quantum effects. The varying lattice constants can also have consequences for phonon transport and thermal conductivity of the wire. The ability to control both the thermal and electronic properties of this system makes it a good candidate nanothermoelectric material for heat conversion or local on-chip cooling. Suspended microstructures for the study of the thermal and electrical properties of these nanoribbon/QD systems have been fabricated using wafer-scale Si processing techniques.This work was supported by DOE, AFOSR, and NSF.1. C. Ritz et al, submitted to Nature
9:45 AM - KK5.2
Carbon on Si1-xGex Layers as Template for Organizing Ge Dots and Probing Intermixing.
Alessandro Bernardi 1 , J. Sebastian Reparaz 1 , M. Isabel Alonso 1 , Alejandro R. Goni 1 , Miquel Garriga 1
1 , Institute of Materials Science of Barcelona (ICMAB-CSIC), Barcelona Spain
Show Abstract10:00 AM - **KK5.3
Hierarchical Assembly of Quantum Dot Architectures in the GeSi/Si(100) System.
Robert Hull 1 , Jennifer Gray 2 , Jeremy Graham 1 , Martin Kammler 3 , Alain Portavoce 4 , Maria Gherasimova 1 , Jerry Floro 1 , Frances Ross 5
1 Materials Science, University of Virginia, Charlottesville, Virginia, United States, 2 , University of Pittsburgh, Pittsburgh, Pennsylvania, United States, 3 , Infineon, Dresden Germany, 4 , L2MP-CNRS, Marseilles France, 5 , IBM T.J. Watson Research Center, Yorktown Heights, New York, United States
Show AbstractWe describe methods to couple regimes of limited adatom mobility with local surface modification to generate new nanostructures and methods for creating ordered quantum dot arrays in the Ge(Si)/Si(100) system. We have previously shown how local Si(100) surface modification using a Ga focused ion beam (FIB) can create transient surface morphologies during subsequent annealing that can template the assembly of Ge quantum dot (QD) nucleation, even for ion doses which sputter less than a monolayer of the substrate from the templating site. Further, the effect of the locally implanted Ga is to reduce the wetting layer thickness in the Stranski-Krastanov growth of Ge/Si(100). This enables suppression of QD nucleation away from the templating sites. The net result is that we can create Ge QD arrays where the size of each QD element can be controlled to the 20-30 nm range, its position to c. 10 nm, and where the array can be of any defined geometry and set of spatial frequencies.This control of QD nucleation can be further enhanced by coupling these methods of local surface modification to the rich array of nanostructures that can occur under regimes of limited adatom mobility in the GeSi/Si system. We have previously described the assembly of “quantum dot molecules” (QDMs), which comprise four fold quantum dots elastically bound to a central surface pit. The dimensions of the QDM can be controlled through the magnitude of strain (i.e. the composition) in the GeSi epitaxial film, but at a given film composition the structures are self-limiting in both shape and size. Of particular interest is that in the QDM, individual QDs can be brought into very close (a few nm or less) proximity, with potential applications to novel nanoelectronic architectures based on electron/hole tunneling or spin interactions. Although the QDM is the most documented of the structures that we have observed in limited adatom mobility GeSi/Si heteroepitaxy, we have observed several other nanostructures including multi-tiered QDMs, analogs involving four hut clusters surrounding a central dome, surface nano-wires and -antiwires, and other variants. We have also demonstrated that the positions and coupling of these nanostructures can be controlled by local FIB implantation prior to growth, creating hierachically ordered systems, with length scales ranging from nm (QD spacing) to tens of nm (QD size) to one-two hundred nm (QDM size) to hundreds of microns (length scales of FIB templating). Our current work is focusing on developing methods for electronic and magnetic functionalization of these nanostructures using mass-selected focused ion beams (of e.g. B, Mn, As) and other approaches. We will describe the prospects of applying such structures to nanoelectronic device structures such as quantum cellular automata and spin exchange switches, and describe the nano-structural, -chemical and -electronic challenges to be addressed to realize such goals.
10:30 AM - KK5.4
Directed Growth of One-Dimensional SiGe Nanowires Using Focused Ion Beam Substrate Patterning.
Jennifer Gray 1 , Robert Hull 2 , Jerrold Floro 2
1 Mechanical Engineering and Materials Science, University of Pittsburgh, Pittsburgh, Pennsylvania, United States, 2 Materials Science and Engineering, University of Virginia, Charlottesville, Virginia, United States
Show AbstractOne-dimensional nanowires are of great interest for potential new nanoelectronic devices. The ability to form or place a nanowire at a specific site would be a necessary requirement for many types of devices. We have investigated substrate patterning using a focused ion beam (FIB) as a method for controlling the lateral growth of nanowires on a substrate surface. This method relies on the combination of kinetically limited growth conditions and preferred nucleation sites for strain relieving island formation in the heteroepitaxial SiGe/Si(001) system. Ex-situ FIB patterned trenches along <100> directions of the Si substrate do result in the formation of highly elongated SiGe islands on either side of the trench under certain growth conditions. The length of the wire-like islands is limited only by the length of the trench. However, occasional bridging across the trench is also observed due to the strong preference for formation of four-fold quantum dot molecule structures in this system. Likewise, attempting to create nanowires along <110> directions through either FIB patterning or through the formation of <110> dislocation lines is difficult due to the preferential formation of strings of islands along the <110> directions instead of structures resembling nanowires. These results demonstrate the important geometrical as well as kinetic factors that must be considered for controlling the lateral growth of nanostructures using FIB patterned substrates.
10:45 AM - KK5.5
In Situ Observation of the Controlled Lateral Assembly of Ge Quantum Dots on Si Substrate.
Maria Gherasimova 1 , R. Hull 1 , M. Reuter 2 , K. Reuter 2 , F. Ross 2
1 Department of Materials Science and Engineering, University of Virginia, Charlottesville, Virginia, United States, 2 IBM Research Division, T. J. Watson Research Center, Yorktown Heights, New York, United States
Show AbstractPrecise nanoscale control of the lateral positioning of quantum dots on a substrate will enable an array of potential applications in microelectronics and photonics, such as the construction of quantum cellular automata and targeted incorporation of internal light sources in the photonic crystal cavities and waveguides. In the present work, controlled nucleation of Ge quantum dots on the scale of tens of nanometers is achieved by templating the (100) Si substrate surface with low dose focused ion beam pulses. Ge island synthesis is performed using ultra high vacuum chemical vapor deposition inside a transmission electron microscope, allowing real time in situ observation of the nucleation and island assembly phenomena and video rate data capture. One-to-one correspondence between closely spaced encoding sites and cluster nucleation locations is essential for the realization of any potential application. In this work, we investigate the interrelated issues of maximizing the fidelity of cluster/site registration while minimizing the distance between the sites, as well as improving the encoding efficiency (i.e. the ratio between the number of the assembled atoms in a cluster to that of the encoding atoms in each site.) We will discuss the effect of surface kinetics and cluster energetics on the ability of the ordered cluster array to withstand the intrinsic fluctuations and suppress spontaneous self assembly away from the encoding sites.
KK6: III-V Heteroepitaxy
Session Chairs
Tuesday PM, November 27, 2007
Room 304 (Hynes)
11:30 AM - KK6.1
Directed Assembly of InAs Quantum Dots on Focused Ion Beam Patterned GaAs Substrates.
Hugh McKay 2 , Aaron Dehne 2 , Paul Rudzinski 2 , Joanna Millunchick 2
2 Department of Materials Science and Engineering, University of Michigan, Ann Arbor, Michigan, United States
Show AbstractIn this work, the influence of Ga+ ion dose on InAs quantum dot formation was studied using a novel grow-pattern-characterize system. An ultra high vacuum focused ion beam (FIB) column, which is integrated to a molecular beam epitaxy growth system, was used to pattern GaAs layers grown on GaAs(001) substrates. After patterning, samples were transferred to the growth chamber in vacuo, and a thickness 1.7 < h < 2.4 ML of InAs was deposited at a substrate temperatures of 530 °C. FIB patterns consisted of either arrays of individual points or arrays of uniformly dosed 5 μm squares. The individual point arrays had ion beam spot times ranging from 4 to 1600 μs while the uniformly dosed squares had ion doses ranging from 1012 to 1015 ions/cm2. After removal from the vacuum system, samples were examined via tapping mode atomic force microscopy. Atomic force micrographs of large scale uniformly dosed areas show an apparent reduction in the critical thickness for quantum dot formation. For example, for films thicknesses h<2.0 ML, quantum dots are only observed in the patterned regions, but not outside of them. For h=2.4 ML, greater than the expected critical thickness for quantum dot formation under these growth conditions, dots are observed across the entire sample, but have a large density and size distribution within the patterned region. Furthermore, there is an increase in the areal density of the dots as a function of the ion dose regardless of the thickness of the film. The size of the ion induced dots is somewhat smaller than self assembled dots, and is not influenced by the ion dose. There is also evidence that the increase in the dot density is in part due to diffusion of adatoms from outside the patterned region. Studies of the FIB induced changes to the GaAs substrate show that the ion beam roughened the surface on a monolayer scale by increasing the step density and creating nanoholes. We show that the quantum dot density is directly correlated to the nanohole density, thus verifying that this is the mechanism by which FIB-directed dots are formed. Atomic force micrographs of individual point arrays showed precise control of InAs quantum dot nucleation locations was achieved. For an InAs thickness h=2.0 ML, quantum dots were found to nucleate only on locations patterned with the FIB, other areas of the sample being virtually devoid of dots. Quantum dot fidelity was observed to increase with increasing FIB spot time. Further increases in spot time led to an increase in the probability of finding multiple quantum dots nucleating around the individual FIB pattern point. For greater thickness of InAs, dots were found to preferentially nucleate on pattern points but a significant dot density between points was also observed. Conditions necessary for 100% fidelity and narrow size distributions for individually nucleated quantum dots will be discussed.
11:45 AM - KK6.2
Shape and Strain in InGaAs Quantum Rings and Quantum Dot Molecules as Probed by X-Ray Diffraction.
Michael Hanke 1 , Yuriy Mazur 2 , Euclydes Marega Jr. 2 , Ziad Abuwaar 2 , Gregory Salamo 2 , Martin Schmidbauer 3
1 Institute of Physics, Martin-Luther-University Halle-Wittenberg, Halle /Saale Germany, 2 Department of Physics, University of Arkansas, Fayetteville, Arkansas, United States, 3 , Institute for Crystal Growth, Berlin Germany
Show AbstractWe will first discuss an x-ray synchrotron experiment on nominally zero-strained GaAs quantum dot molecules (QDMs). There are many attempts towards the fabrication of QDMs, however, most groups focus on strained QDMs, e.g. SiGe/Si, InAs/GaAs etc. Strain engineering, which is inherently colloquial to non-zero strain, serves as a well-established approach to modify the quantum confinement and electronic properties. Whereas perfectly lattice matched QDMs represent the zero-strain limit and recently emerge in the literature [1]. However, the claimed lack of strain is not proved yet, although this would have strong implications on the quantum confinement. Al0.3Ga0.7As deposited by molecular beam epitaxy on GaAs(001) acts as barrier layer between the GaAs(001) substrate and subsequently grown QDMs; the adjusted thickness of 50 nm preserves the in-plane lattice parameter. Pairs of lenselike quantum dots are created with preferential orientation along [1-10] placed on shallow hills. Grazing incidence diffraction along with kinematical scattering simulations indicate completely strain-free QDs which prove a strongly suppressed intermixing between QDMs and the underlying AlGaAs barrier layer. In the second part we will present a study on vertical InGaAs/GaAs(001) quantum ring (QR) stacks, which has very recently been selected to provide the cover image of Applied Physics Letters [2]. We have observed a shape transformation during the vertical stacking of InGaAs QRs on GaAs(001). Samples have been grown by means of molecular beam epitaxy. The initial QR layer exhibit nearly round-shaped, flat disks. Especially for a very thin spacer layer of 2 nm the topmost QRs in a two-fold stack tend to be of ellipsoidal shape with preferential elongation along the [1-10] direction. Grazing incidence diffraction and corresponding x-ray scattering simulations prove an asymmetry in the shape of the buried QRs with respect to different <110> directions. This clearly indicates a significant shape transformation during the overgrowth process from circular towards ellipsoidal QRs.[1] M.Hanke et al., Appl. Phys. Lett. 89, 053116 (2006)[2] M.Hanke et al., Appl. Phys. Lett. (accepted), issue 23 July (2007), cover image
12:00 PM - KK6.3
Selective Formation of InAs Quantum Dots on GaAs (100) surface Regardless of Pattern Shape and Crystallographic Directions.
Jihoon Lee 1 2 , Zhiming Wang 2 , William Black 1 2 , Vasyl Kunets 2 , Yuiry Mazur 2 , Gregory Salamo 1 2
1 Microelectronics & photonics, University of Arkansas, Fayetteville, Arkansas, United States, 2 Materials Research Science and Engineering Center (MRSEC), University of Arkansas, Fayetteville, Arkansas, United States
Show AbstractThree-dimensional (3D) semiconductor nano-scale particles with the size of a few nanometers to tens of nanometers are known as quantum dots (QDs). Because they can confine electrons and holes at discrete energy levels, known as ‘quantum confinement’, the energy band-gap structures can be designed and engineered with the control of the size of QDs. Due to their exceptional physical properties and optoelectronic potential for applications, semiconductor quantum dots have received significant attention during the last 15 years. The formation mechanism of QDs is based on the Stransky-Krastanov (S-K) model, which is the most widely employed self-assembly method. However, S-K based nucleation of QDs, in general, randomly takes place over the surface with arbitrary lateral distribution. Because of this fact, the growth of ordered arrays of quantum dots (QDs) is somewhat restricted when the growth is solely dependent on self-assembly of S-K growth. This in turn can hinder the functionality of QDs for device applications where the selective growth of QDs on desired locations is necessary. Without the control of the selective formation of QDs, taking the full advantage of the unique properties of QDs can be stymied. The use of photo-lithographically patterned substrates can overcome this restriction, which is one of the most well known top-down approaches. Nevertheless, the demonstrated results, so far, are limited only along certain surface directions and/or the shapes of patterns. Also, the established results exclusively rely on deeply-constructed patterns with massive surface modulations of at least a few hundred nanometers to tens of microns. As a result, the device fabrication process employing QDs can be hindered by the lofty surface modulation.We demonstrate the selective growth of pure InAs QDs [1] on the sidewalls of mesa patterns on GaAs surface regardless of the pattern shape and crystallographic directions. We specifically demonstrate the spatial localization of InAs QDs on mesa (up) and trench (down) patterns varying from line, square and triangle patterns by molecular beam epitaxy. We employ super-shallow mesa and trench patterns with the modulation depth of only 35nm to provide a route to generate tailored quantum dot formation and also to overcome the complexity of fabrication due to massive surface modulation by deeply patterned growths. Therefore, this technique can be categorized as nano-scale pattern formation of self-assembled quantum dots as a top-down growth approach. Atomic force microscopy reveals the selective formation of QDs. This study provides an aid in understanding the formation of selective growth of pure InAs QDs on ultra shallow nano-scale patterns, which can find applications in optoelectronics.[1] J. H. Lee, Zh. M. Wang, W. T. Black, Vas. P. Kunets, Yu. I. Mazur, and G. J. Salamo, Advanced Functional Materials, in press (2007).
12:15 PM - KK6.4
Morphology and Ordering of III-V Epitaxial Self-Assembled Quantum Dots.
Lawrence Friedman 1
1 Engineering Science and Mechanics, Pennsylvania State University, University Park, Pennsylvania, United States
Show AbstractSemiconductor epitaxial self-assembled quantum dots (SAQDs) have potential for electronic and optoelectronic applications such as high density logic, quantum computing architectures, laser diodes, and other optoelectronic devices. SAQDs form during heteroepitaxy due to an interplay of lattice mismatch strain effects and surface energy. Crystal symmetry can have a large effect on the morphology of SAQDs and their spatial order (J. Nanophoton., 1:013513, 2007 and arXiv:0705.2601v1 [cond-mat.mtrl-sci]). Often the formation of group IV SAQDs such as Ge deposited on Si is compared with the formation of III-V SAQDs such as InAs deposited on GaAs. However, the governing dynamics of group-IV SAQD formation has four-fold symmetry while the equations for III-V SAQD formation have mixed 4-fold and 2-fold symmetry. The additional anisotropy affects both the surface energy and the diffusivity. A previously discussed model of SAQD formation and order (MRS 2006 Fall Meeting, M9.4) is adapted to InAs/GaAs SAQDs. The model is a variant of commonly used surface diffusion models and includes stochastic effects such as random diffusion. The result is correlation functions that describe the statistics of SAQD arrays as well as sample film morphologies. In particular, ordering of SAQDs is discussed and contrasted with the case of group IV SAQDs.
12:30 PM - KK6.5
TEM Characterization of Position-controlled InN Nanocolumns.
Satoshi Harui 1 , Hidetoshi Tamiya 1 , Takanobu Akagi 1 , Hideto Miyake 2 , Kazumasa Hiramatsu 2 , Tsutomu Araki 1 , Yasushi Nanishi 1
1 Dept. of Photonics, Ritsumeikan University, Kusatsu, Shiga, Japan, 2 Dept. of Electrical and Electronic Engineering, Mie University, Tsu, Mie, Japan
Show AbstractRecently, new application fields have been opened up for InN-based nitride semiconductors such as high-speed electronic devices, long-wavelength optical devices, and high-efficiency-solar cells. However, these devices require a lower threading dislocation than currently exists. A typical density of threading dislocations in (0001) InN film grown by RF-MBE on sapphire is more than 1010/cm2 [1]. A way to obtain higher quality InN crystal is through the growth of columnar nanostructure. Recently, we have successfully obtained position-controlled InN nanocolumns by ECR-MBE. In this study, we report on TEM characterization of the position-controlled InN nanocolumns.Ga-polar GaN epitaxial layers grown by an MOCVD technique on sapphire were used as templates. These templates had an estimated dislocation density of ~ 2×109/cm2. In the fabrication of the position-controlled InN nanocolumns, InN was grown by ECR-MBE on the GaN templates with reticular patterns of ~660 × 660 holes, which were prepared by the FIB technique using Ga ions. TEM specimens were prepared using an FIB. Final polishing of samples was carried out by Ar-ion milling. The samples were then observed with a JEOL 2010 operated at 200 kV.As a result of TEM characterization, the average diameter of an InN nanocolumn was approximately 300 nm. SAED patterns obtained from the interface between GaN template and InN nanocolumns showed that InN nanocolumns with relaxed strain were epitaxially grown on the GaN template. In InN nanocolumns, however, neither edge-type nor screw-type threading dislocation was detected. In addition, it was revealed that the threading dislocations, originating from the GaN/sapphire interface, did not propagate into InN nanocolumns. Furthermore, we determined the polarity of both the InN nanocolumns and the GaN template using a CBED technique. It was confirmed that InN nanocolumns have In-polarity which was consistent with that of the underlying GaN template grown by an MOCVD technique. From these results, high-quality In-polar InN crystals with no threading dislocations have been successfully obtained by means of introducing the nanocolumnar structure. Acknowledgements; This work was supported by the MEXT through Grant-in-Aids for Scientific Research in Priority Areas "Optoelectronics Frontier by Nitride Semiconductor" #18069012 and for Scientific Research (A) #18206003.[1] T. Araki et al., J. Vac. Sci. Technol. B 22, 2139 (2004).
KK7: Strain Effects
Session Chairs
Tuesday PM, November 27, 2007
Room 304 (Hynes)
2:30 PM - **KK7.1
General Considerations for Understanding Growth Morphologies.
David Srolovitz 1 , Danxu Du 2
1 Department of Physics, Yeshiva University, New York, New York, United States, 2 Mechanical & Aerospace Eng., Princeton University, Princeton, New Jersey, United States
Show AbstractIn this presentation, we examine the development of general principles for understanding growth morphology in situations where the growth process involves competing mechanisms (i.e., almost every practical growth method). This is important in situations where vastly different physical models apply to different aspects of the growth process. The goal is to determine which features of a general growth rate law control the growth morphology at late time and whether there is an asymptotic growth shape. We show that at sufficiently late time, the growth morphology will, in general, be determined solely by v(n) and that this result is robust. We combine this approach with some ideas from graph theory to develop a systematic approach for identifying a proper set of independent dimensionless kinetic ratios of physical parameters describing growth. Finally, we apply these ideas to a general description of MOCVD (including gas phase diffusion, surface reactions, evaporation, condensation, and surface diffusion), show under what physical condition a constant v(n) assumption is valid and when the asymptotic convex growth morphology ideas should be applicable.
3:00 PM - **KK7.2
Strain Induced Growth Instability and Island Nucleation on Wavy Substrate.
Feng Liu 1
1 Materials Sci & Eng, University of Utah, Salt Lake City, Utah, United States
Show AbstractStrain induced self-assembly provides an attractive route to nanofabrication of quantum dots. Recent experiments have demonstrated that combining the strain induced self-assembly with surface patterning provides an effective method to further improve the size uniformity and spatial ordering of quantum dots. However, the underlying mechanisms responsible for such improvement remain poorly understood. In this talk, I will present theoretical analyses of strain induced growth instability and island nucleation on wavy substrate. We show that the growth of a strained film is inherently less stable on a wavy substrate than on a flat substrate. For small surface undulation, the critical wavelength characterizing the initial instability on a wavy substrate is effectively half of that on a flat substrate. The critical size and energy barrier for nucleation of the faceted islands can be either reduced or increased depending on the location of island nucleation in the peak or valley region of the wavy surface.
3:30 PM - KK7.3
Modeling Strain Induced Pattern Formation and Self Organization of Quantum Dots During Heteroepitaxial Growth.
Christian Ratsch 1 , Young-Ju Lee 1 , Jason DeVita 1 , Xiaobin Niu 1 , Russel Caflisch 1 , Peter Smereka 2
1 Mathematics, UCLA, Los Angeles, California, United States, 2 , University of Michigan, Ann Arbor, Michigan, United States
Show AbstractIt is well know that strain leads to the formation and self organization of nanostructures and quantum dots. In this talk, we will discuss the microscopic kinetic and thermodynamic driving forces behind this self organization. We will present a systematic density-functional theory (DFT) study for the strain dependence of microscopic growth parameters. In particular, we will focus on the model system Al/Al(100), and discuss the effect of strain on surface diffusion, adatom detachment from island boundaries, and diffusion along island boundaries. We will then show how the effect of strain can be included in a growth model for epitaxial growth. Our island dynamics model for heteroepitaxial growth employs an island dynamics model with the level-set technique in combination with a fully self-consistent elastic model. At every timestep in the simulation, we solve the elastic equations for the entire system. This is possible within our approach because the numerical timestep can be chosen much larger than in an atomistic simulation. At every lattice site strain then changes the local bonding, and thus the potential energy surface for adatoms and the microscopic parameters of the simulation, as obtained from DFT. We show that islands become smaller and more regular upon increasing strain. The reason is that bigger islands are typically more strained than smaller islands, and thus their growth is slowed down. We also present results that show that strain moves the system from layer-by-layer growth to the formation of coherent islands as a mechanism for strain relieve.
3:45 PM - KK7.4
Strain Induced Metastability in the Shape Evolution of Self-assembled Domains on Si(111): Real-time Electron Microscopy Observations and Numerical Simulations.
Nikhil Medhekar 1 , Vivek Shenoy 1 , James Hannon 2 , Tromp Ruud 2
1 Division of Engineering, Brown University, Providence, Rhode Island, United States, 2 IBM Research Division, IBM T J Watson Research Center, Yorktown Heights, New York, United States
Show AbstractWe present real-time Low-Energy Electron Microscopy (LEEM) observations of the growth and equilibrium shapes of (7x7) reconstructed domains on (1x1) reconstructed Si(111) surface which show several intriguing features in its shape evolution due to strain mediated interactions. We find that the shapes of large domains (area > 1.6 μm2) are fundamentally different from the compact shapes of smaller domains with either concave or convex boundaries. In contrast, large islands show more ramified shapes resembling branched pine-tree when grown at faster rate and connected-triangles morphology when growth is near equilibrium.Using a dynamic phase-field model, we show that the key to understanding this behavior is the strain induced metastability of domain shapes that are trapped in the local minima of the complex energy landscape. The interplay of the anisotropy in the boundary energy and the strain relaxation associated with the boundaries leads to such novel transition in growth shapes that is not observed in unstrained systems. Further, the growth simulations show that the shapes with smaller anisotropy in boundary energy can remain in metastable state even when their sizes are large, whereas shapes with larger anisotropy can change shape by the formation of side-branches. The consideration of growth shapes that show spontaneous formation of side branches is necessary to establish the presence of unstable orientations and thus, our work shows that in estimating the thermodynamic and kinetic parameters, the conclusions solely drawn based on the analysis of equilibrium shapes can be erroneous.
4:30 PM - **KK7.5
Nanoscale Pattern Formation on Metal Surfaces.
Norman Bartelt 1
1 , Sandia National Laboratories, Livermore, California, United States
Show AbstractThin heteroepitaxial films on metals often are observed to be arranged into complicated nanometer scale patterns that can be very well ordered. In this talk I will review several examples of our efforts at Sandia Laboratories to quantitatively understand the pattern formation process, revealing the (often difficult-to-predict) delicate balance of the competing mechanisms. Despite the similarity of these patterns in different systems, a range of different mechanisms can be responsible for their formation. For example, sometimes the patterns are the equilibrium state of the surface (Pb/Cu), other times they are kinetic effects (Pd/Ru, Cr/W). Although the thermodynamic driving force for pattern formation is usually strain relief, the mechanisms of strain relief can vary widely: Sometimes bulk elastic relaxations are important (Pb/Cu, Au/W), but often different, surface, relaxation mechanisms play a role (O/Pt, S/Ag/Ru, CoAg/Ru). The detail to which the pattern formation can be understood in specific systems encourages the attempt to control and use these patterns for technological applications: I will show an example of a system where knowledge of the atomistic processes of pattern formation can be used to control the position of large three dimensional surface structures (Ag/W).This research was supported by the Office of Basic Energy Sciences, Division of Materials Sciences, USDOE under Contract No. DE-AC04-94AL85000.
5:00 PM - **KK7.6
Inorganic Routes For Forming Metal Nanoparticle Arrays.
Bruce Clemens 1 , Aditi Chandra 1 , Matts Larsson 1 , Yong-Won Lee 1 , Kyeongjae Cho 1
1 Materials Science and Engineering, Stanford University, Stanford, California, United States
Show AbstractThis paper discusses two different mechanisms for forming arrays of metal nanoparticles with diameters in the sub 10 nm range. The first approach uses the influence on adatom mobility and energy of a nanometer-scale oscillating surface strain field, due to a buried misfit dislocation array. The results of Kinetic Monte Carlo simulations, using energetics derived from first-principles calculations, show that the strong strain dependence of the adatom energy landscape gives rise to a clustering in regions of large tensile strain. The growth of Co on Pt (001) is investigated by examining the structure and magnetic properties of the deposited Co film. 1.0 nm thick Co films are sputter-deposited on epitaxial Pt underlayers in which the strain field is generated by a square array of interfacial misfit dislocations due to the lattice mismatch of the Pt(001) film with the underlying MgO(001) substrate. The strength of the surface strain field is controlled by varying the thickness of the Pt underlayer from 2 to 10 nm. Remarkable chances in the magnetic remanence, behavior, measured using the Δm technique, are observed as a function of the thickness of the Pt underlayer. These changes can be attributed to changes in the Co nucleation density and growth morphology associated with the different strength of the surface strain field for different Pt underlayer thicknesses. This indirect approach suggests that nanopatterned, magnetically-isolated Co films are produced over a very narrow range of Pt underlayer thickness.This second mechanism involves the self-assembled formation of monodisperse gold-rich nanoparticles and associated crystalline silicon nanostructures. Multilayer films comprising of amorphous Au25Si75 and amorphous silicon were grown via dc magnetron sputtering and subsequently annealed under varying thermal conditions. The films were characterized by electron microscopy before and after the thermal anneal. Thermal decomposition of the multilayer films results in the metal induced crystallization of amorphous silicon, and formation of uniform Au-rich nanoparticles. Through thermodynamic modeling, two mechanisms are shown to be viable means for nanoscaled size selection. The first mechanism entails crystallization of Au25Si75 followed by metal induced crystallization of amorphous silicon, while the second utilizes spinodal decomposition of Au25Si75 to select a single nanoparticle radius.These Au-rich nanoparticles are successfully incorporated into metal oxide semi- conductor (MOS) structures for use as a charge trapping layer in floating gate devices. From high frequency capacitance measurements, MOS structures containing these particles showed a significant hysteresis as compared to structures without nanopar- ticles. This work represents one of the first examples of metal nanoparticles, formed by phase separation, utilized as a floating gate layer for non-volatile memory applications.
5:30 PM - KK7.7
Nanopattern Formation by Periodic Array of Interfacial Misfit Dislocations in Bi(111)/Si(001) Heteroepitaxy.
Giriraj Jnawali 1 , H. Hattab 1 , F. Mayer zu Heringdorf 1 , C. Bobisch 1 , A. Bernhart 1 , E. Zubkov 1 , R. Möller 1 , B. Krenzer 1 , M. Horn-von Hoegen 1
1 Department of Physics, University of Duisburg-Essen and Center of Nano Integration, Duisburg Germany
Show AbstractUltra-smooth Bi(111) films with very low defect density could be grown on Si(001) following a recipe published by Jnawali et al.[1]. Bi grows with a (111) surface orientation in order to minimize its surface free energy. This results in a complex interface geometry with a reduced symmetry to the Si(001) substrate. The hexagonal Bi(111) and the rectangular Si(001) lattice fits surprisingly well with a low misfit in both the [110] and [1-10] directions. A remaining lattice mismatch of 2.3 % is accommodated by the formation of a periodic array of misfit dislocations at the interface.The dislocations are introduced during annealing of a 6 nm thick Bi(111) film from 150 K to 450 K while the film relaxes. The one dimensional dislocation array is observed via its strain fields and the accompanied surface undulation by spot splitting in high resolution LEED (SPA-LEED). From the dependence of satellite spot intensity on electron energy, the amplitude Δh = 0.7 Å and the average separation
= 200 Å of the wave-like periodic surface height undulation is derived. The surface topography of the film has also been studied by STM which confirms the LEED data.These results are compared with a continuum theory for elastic distortion by Springholz et al.[2] and we conclude that the misfit dislocations at the interface is a full dislocation with an edge component of the Burgers vector defined by the surface lattice constant of the Si(001) surface i.e., 3.84 Å. This type of one-dimentional full dislocation network is different than various other systems such as Ge/Si(111)[3] where the dislocations form a hexagonal two dimensional network composed of Schockley partials.[1] G. Jnawali, H. Hattab, B. Krenzer, and M. Horn von Hoegen, Phys. Rev. B, 74, 195340 (2006)[2] G. Springholz, Appl. Surf. Sci. 112, 12 (1997).[3] M. Horn-von Hoegen, A. Al-Falou, H. Pietsch, B. H. Möller and M. Henzler, Surf. Sci. 298, 29 (1993). 5:45 PM - KK7.8
Spontaneous Superlattice Formation in Nanorods through Partial Cation Exchange.
Richard Robinson 1 , Bryce Sadtler 2 , Denis Demchenko 3 , Can Erdonmez 2 , Lin-Wang Wang 3 , A. Alivisatos 1 2
1 Materials Science Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 2 Department of Chemistry, University of California, Berkeley, Berkeley, California, United States, 3 Computational Research Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractLattice mismatch strains are widely known to control nanoscale pattern formation in heteroepitaxy, but such effects have not been exploited in colloidal nanocrystal growth. We demonstrate a strain-mediated colloidal route to synthesizing CdS-Ag2S nanorod superlattices through partial cation exchange. Cation exchange can be used to alter the chemical composition of a colloidal nanocrystal. We have previously shown that cation exchange can be performed on non-equilibrium shapes of nanocrystals such as rods, tetrapods, and hollow spheres without changing the shape. Here we investigate the step-wise evolution of heterostructures as the degree of cation exchange is gradually increased. We find that a striped pattern of nanorod superlattices is created spontaneously at a critical Ag+ concentration. Strain induces the formation of the periodic structures. Ab initio calculations of the interfacial energy and modeling of strain energies show that these forces drive the self-organization. The nanorod superlattices exhibit high stability against ripening and phase mixing. These materials are tunable near-infrared emitters with potential applications as nanometer-scale optoelectronic devices. This work was supported by the U.S. Department of Energy under the contract number DE-AC02-05CH11231.
Symposium Organizers
Michael J. Aziz Harvard University
Jerrold A. Floro University of Virginia
Stefan G. Mayr Georg-August-Universitaet Goettingen
Christopher C. Umbach Cornell University
KK8: Dewetting and Energetic Beams
Session Chairs
Bruce M. Clemens
Stefan Mayr
Wednesday AM, November 28, 2007
Room 304 (Hynes)
9:30 AM - **KK8.1
Driving Forces of Surface Patterning and Nanocluster Tailoring with Ion and Laser Beams.
Karl-Heinz Heinig 1
1 Institute of Ion Beam Physics and Materials Research, Forschungszentrum Dresden-Rossendorf, Dresden Germany
Show AbstractSurface ripple formation as well as modification of nanocluster shapes and size distributions have been observed after irradiation with ion or laser beams. Both phenomena occur during far-from-equilibrium processing. In many cases, these effects can be attributed to an either temperature dependent or effective negative surface (interface) tension. Thus, the ripples found after laser irradiation in a PMMA layer are due to the surface temperature undulation caused by spatial-dependent energy dissipation of standing surface plasmon waves in the underlying gold film (thermocapillarity, see [1]). Thermocapillarity has been idendified to be also the main mechanism of swift-heavy-ion-induced shaping of gold nanoparticles in silica into gold rods or even wires [2]. On the other hand, an effective negative surface tension can be found under low-energy ion irradiation of surfaces [3] and ion beam mixing of interfaces [4]. This negative surface tension tends to increase the surface by surface patterning or inverse Ostwald ripening [4]. Here, these and other driving forces will be discussed in a systematic manner.[1] L. Röntzsch, K.-H. Heinig, J. Schuller, M. Brongersma, Appl. Phys. Lett. 90 (2007) 044105. [2] K.-H. Heinig and A. Vredenberg, IBMM2006 conference and in preparation.[3] R. M. Bradley and J. M. E. Harper, J. Vac. Sci. Technol. A 6, 2390 (1988).[4] K.-H. Heinig et al., Appl. Phys. A 77, 17 (2003).
10:00 AM - KK8.2
Dynamics of Pattern Formation in Ultrathin Metal Films Under Multiple Nanosecond Pulse Laser Melting.
Christopher Favazza 1 2 , Justin Trice 1 2 , Radhakrishna Sureshkumar 2 3 , Ramki Kalyanaraman 1 2
1 Department of Physics, Washington University in St Louis, St. Louis, Missouri, United States, 2 Center for Materials Innovation, Washington University in St. Louis, St. Louis, Missouri, United States, 3 Department of Energy, Environmental, and Chemical Engineering, Washington University in St. Louis, St. Louis, Missouri, United States
Show AbstractWe have shown that hydrodynamic and hydrothermal instabilities in thin metal films lead to self-organizing systems of ordered nanostructures. These instabilities can be initiated and investigated using fast pulsed laser processing. Nanosecond (ns) pulsed laser irradiation of thin metal films on inert substrates (like SiO2) typically results in fast heating O(100 K/ns) and resolidification (O(10 K/ns) with liquid lifetimes typically of the order of the pulse time, tP. Multiple pulses of such fast melting/resolidification cycles in the film allow different stages of the patterning process to be quenched-in, identified and studied. In particular, we have shown that under uniform irradiation, films dewet and typically show a variety of spatially correlated morphological features, including: holes at early stages, bicontinuous or polygonal features at intermediate stages and nanoparticles in the final state. In these ns irradiation experiments, a relatively large fraction of the heating/cooling cycle is spent in the cooling phase, during which the film is a solid. It is well-known that substantial solid state mass transport can occur at elevated temperatures, making it important to quantitatively assess the extent of which these processes influence pattern formation. We have accordingly modified the Mullins formulation [Mullins, J. Appl. Phys. 30, 77, 1959] of curvature-driven surface and volume diffusion and evaporation/condensation, making it applicable to thin films, and estimated the effects on the initial stages of pattern formation. Both experiments and theoretical mass transport calculations show that solid state processes do not significantly alter the morphology that is quenched-in from the liquid phase. These results have considerable implications towards designing robust and reliable nanoscale pattern formation under multiple pulse laser processing.
10:15 AM - KK8.3
Optical Orientation of Amorphous Carbon Nanoparticles on the Surface of Graphite Exposed to fs Laser Pulses.
Anming Hu 1 , J. Sanderson 1 , Walt Duley 1
1 Department of Physics, University of Waterloo, Waterloo, Ontario, Canada
Show AbstractA study of surface engineering on highly oriented pyrolytic graphite initiated with linear and circularly polarized fs laser radiation is reported. At fluences exceeding the threshold for ablation, the HOPG surface exhibits a variety of carbon nanoparticle and nanobar structures whose appearance and orientation depend on the polarization of the incident laser radiation. Organized patterns of these nanoparticles and nanobars are observed when the incident radiation is linearly polarized but these patterns do not occur when the beam is circularly polarized. The properties of these macrostructures have been investigated under a range of irradiation conditions. For normal incidence at a laser wavelength of 800 nm, the linear arrays are observed having a periodicity of about 50 nm. Detailed analysis of microstructure confirms that these features do not arise in a traditional way via interference between incident light and surface or via liquid instabilities due to capillary surface forces. An alternative interpretation of this phenomenon based on orientation via magnetic interactions is suggested.
10:30 AM - KK8.4
Patterning of Subwavelength Periodic Nanostrutures Induced by a Single Femtosecond Laser Beam.
Haitao Zhang 1 3 , Mingzhen Tang 2 , Tsing-hua Her 1 2
1 Center for Optoelectronics and Optical Communications, The University of North Carolina at Charlotte, Charlotte, North Carolina, United States, 3 Department of Mechanical Engineering and Engineering Science, The University of North Carolina at Charlotte, Charlotte, North Carolina, United States, 2 Department of Physics and Optics, The University of North Carolina at Charlotte, Charlotte, North Carolina, United States
Show AbstractSubwavelength periodic nanostructures on the surface of substrates are demonstrated using a single 400-nm femtosecond laser beam by two entirely different approaches: add-on writing and ablation. Recently we have reported the heterogeneous growth of high-quality nanogratings on various substrates by laser-induced chemical vapor deposition of tungsten. These nanogratings have sub-100 nm linewidths and subwavelength periods, and their orientation is found always parallel to the laser polarization. On the other hand, surface periodic nanostructures like ripples can also be fabricated by ablation when substrates are irradiated by a femtosecond laser beam. Ripples formed by surface ablation are also composed of lines with fine linewidth and subwavelength periods, but their orientation is always perpendicular to the laser polarization. As direct-write processes, both approaches can fabricate different patterns by scanning the laser beam along the substrate surface. Fine tuning of the grating period can be realized by changing the laser power and the scanning speed. The conventional theory of laser-induced periodic surface structures which bases on the interference of incident laser beam with the surface scatted waves can only explain the formation of surface structures with coarse period. Due to their subwavelength periods, the abovementioned grating structures induced by femtosecond laser cannot be explained using this inference theory. This indicates femtosecond laser may become a novel patterning tool to produce subwavelength periodic nanostructures.
10:45 AM - KK8.5
Sub Micronic Constructions by Focused Ion Beam Deposition.
Herve Dallaporta 1 , Frederic Bedu 1
1 Sciences and technologies of nanodevices, CRMCN-CNRS, Marseille Cedex9 France
Show AbstractFocussed ion beam induced deposition is widely used to deposit conductive materials for the rewiring of microelectronic circuits. In this contribution, we report another application of this technique that is the fabrication of 3D nanoconstructions. We will show that using the local decomposition of molecules induced by ions (FIBID: Focused ion beam induced deposition), it is possible to constructed nanostructures on surfaces. We show that it is possible to obtain various shape objects in different materials like diamond like carbon, silicon oxide and metallic compounds. The demonstration of these possibilities has been done using a dual beam machine for which we have developed our own electronic beam command. We can obtain submicronic objects like auto supported carbon films, dot networks, sharp tips, nanoinjector, tube…. The combination of these objects with metallic wire deposited FIBID allow the construction of circuits that can be used as sub micronic reactor or heated supports for transmission electron microscope.These results open a wide range of applications like nanostructuration of surfaces, new support for TEM and demonstrated that FIBID is a versatile technique to fabricate demonstrator and building blocks for nanoscience.
11:30 AM - KK8.6
Templated Self-organization of Ni and NiAg Thin Films by Solid State Dewetting.
Jan Petersen 1 , Stefan Mayr 1
1 I. Physikalisches Institut, Universitaet Goettingen , Goettingen Germany
Show AbstractSelf-assembly processes are currently of particular interest as they facilitate the ordered arrangement of structures on a severely reduced length scale. The solid state dewetting process of thin Ni films during thermal annealing on smooth and ripple patterned silicon dioxide was investigated. The ripple pattern with a corrugation wavelength of 40 nm was created by ion etching under oblique incidence.Scanning electron microscopy images show a faster decrease of Ni surface coverage for the templated dewetting indicating an additional driving force of curvature induced diffusion. The ridges act as diffusion barriers trapping Ni in the valleys. Applying adequate parameters for film thickness and annealing temperature this results in the formation of nanorods or nanowires. Electrical resistance was measured to analyze the kinetics of dewetting and determine the kinetically limiting process. It was found that the addition of Ag to the Ni film switches the dewetting mechanism from the common capillarity driven growth mode of voids to the fractal-like growth mode by acting as a catalyst and improves nanowire formation by self-organization on the templates.
11:45 AM - KK8.7
Identifying the Kinetic Pathways that Produce Stripe Patterns in De-wetted Films.
Kevin McCarty 1 , Yu Sato 2 , Angela Saa 3 , Juan de la Figuera 4 , Andreas Schmid 2 , Konrad Thurmer 1 , Roland Stumpf 1 , Norman Bartelt 1
1 , Sandia National Laboratories, Livermore, California, United States, 2 , Lawrence Berkeley National Laboratory, Berkeley, California, United States, 3 , Universidad Autónoma de Madrid, Madrid Spain, 4 , Instituto de Química-Física “Rocasolano”, CSIC, Madrid Spain
Show AbstractOne approach to making patterns in thin films is by de-wetting films on substrates. That is, an initially uniform film can sometimes be transformed into three-dimensional islands that form some type of pattern. For example, when annealed, Fe films on W(110) substrates self-organize into arrays of long, parallel rods [1]. Here we show that Cr films on W(110) also form a pattern of three-dimensional Cr stripes when the film de-wets the substrate. The rod-like structures are aligned along one crystallographic direction of the substrate and can be hundreds of microns long. In this work we present a detailed study of the microscopic processes leading to the formation of these stripes.For a continuous film to de-wet a substrate, the film must both thin to expose the wetting layer in local regions and thicken in other local regions to form 3D islands. Our microscopic observations reveal that the de-wetting mechanism occurs by atomic film steps in adjacent regions moving in different directions relative to the fixed substrate steps. First, a section of an atomic film step advances (downhill), making this local region thicker, and, therefore, more stable than surrounding regions. This thicker region then draws material from adjacent areas where steps retract (uphill). These instabilities grow and eventually form trenches that reach the wetting layer. With time, the Cr stripes between the trenches become continuously narrower and thicker. However, the stripes do not represent the equilibrium state of the de-wetted film, which is composed of isolated 3-D islands.Our observations also establish which controllable parameters determine stripe separation (film thickness and density of substrate steps) and stripe length (density of nucleation sites that expose the wetting layer). The formation of parallel stripes in the systems of Fe and Cr on W(110) likely results from an anisotropy specific to each system. However, we believe that the observed de-wetting mechanism, involving the cooperative motion of film steps, is general and will be operative in many anisotropic de-wetting film/substrate systems. [1] R. Zdyb, A. Pavlovska, M. Jalochowski, E. Bauer, Surf. Sci. 600, p.1586 (2006).
12:00 PM - KK8.8
Self-organized Pattern Formation by the Controlled Release of Pre-stressed Thin Films.
R. k. Annabattula 1 , P. r. Onck 1
1 Applied Physics, Micromechanics of Materials Group, Zernike Institute for Advanced Materials, University of Groningen, Groningen, Groningen, Netherlands
Show AbstractSpontaneous formation of wrinkles or buckles by the release of internal residual stresses is a well-known phenomenon in the thin-film industry during fabrication and service. Though unwanted in many cases, it can be exploited to fabricate well-controlled geometries such as micron- and nanoscale patterns and channels [1,2]. Edmondson et. al. [1] have grown PGMA brushes on a gold substrate during which internal strains are induced. The whole system is subsequently immersed in an electrolytic cell to weaken the bonding between the film and substrate. As a result, the pre-strained PGMA film can release its internal strains by buckling away from the substrate. Afterwards, the film bonds back to the substrate due to the cohesive (Van der Waals) attraction between the film and the substrate, attaining a blister-like final geometry. In a second set of experiments, the fabrication of nano/micron sized semiconductor channel networks has been demonstrated using a dedicated etching process [2]. Epitaxially grown Silicon-Germanium (Si1-xGex) films on a silicon substrate are kept in a solution bath of an etchant which progressively etches away the sacrificial layer between the film and substrate. The final result is a wrinkled Si1-xGex film, effectively forming a nanochannel network. The results are similarly explained in terms of a wrinkling process followed by a bond-back mechanism due to the cohesive attraction between film and substrate during drying. Although the two experimental systems are very different (polymer versus semi-conductor films, electrolysis versus sacrificial-layer etching), the underlying physical mechanism of pattern formation is generic: the controlled release and Van der Waals driven bond-back of pres-stressed thin films. In this work we present a detailed finite element model that allows studying the release/bond-back process as a function of the system parameters (film geometry, film stiffness, interface energy, internal strains). One of the promising properties of the pattern self-formation is that nano- and micron-scale features can be obtained that are at least one order of magnitude smaller than the lateral film dimensions. With the model we are able to address the question on how the film thickness and lateral dimensions should be controlled in order to generate a pre-defined channel-network topology with tunable channel height and width.References[1]. S. Edmondson, K. Frieda, J.E. Comrie, P.R. Onck, W.T.S. Huck, Buckling in quasi-2D Polymers, Advanced Materials, 18 (2006), 724-728.[2]. Y. Mei, D.J. Thurmer, F. Cavallo, S. Kiravittaya, O.G. Schmidt, Semiconductor sub-micro/nanochannel networks by deterministic layer wrinkling, arXiv:cond-mat/0612602v1[cond-mat-mtrl-sci].
12:15 PM - KK8.9
Fabrication of Periodic Arrays of Nano-sized Si and Ni dots on SiO2 Using Linearly Polarized Nd:YAG Pulsed Laser.
Kensuke Nishioka 1 , Susumu Horita 1
1 , Japan Advanced Institute of Science and Technology, Nomi, Ishikawa, Japan
Show AbstractPeriodic arrays of nano-sized Si and Ni dots were fabricated by only irradiating a linearly polarized Nd:YAG pulsed laser beam to Si and Ni thin films deposited on silicon dioxide (SiO2) films. Interference between a scattered surface wave and the incident beam leads to the spatial periodicity of beam energy density distribution on the surface of the irradiated sample. The periodic spacing Λ of the energy density distribution on the surface depends on the wavelength λ and the incident angle θ of the laser beam, and is formulated from Rayleigh’s diffraction conditions as λ/(1±sinθ) for p-polarized beam. This periodic energy density distribution induces the periodic temperature distribution on the irradiated surface. So, we applied the spontaneously induced periodic temperature distribution to fabrication of the Si and Ni dots.For the fabrication of the Si dots, a 40-nm-thick SiO2 layer was grown by the thermal oxidization of a Si(111) wafer. Then, a 10-nm-thick a-Si film was deposited on the thermally oxidized Si substrate at 350oC by electron beam evaporation and irradiated with a linearly polarized Nd:YAG pulsed laser beam (λ: 532 nm, repetition frequency: 10 Hz, pulse width: 6 ns). The laser energy density (F) and pulse number (N) were 80 mJ/cm2 and 1000, respectively. For the fabrication of the Ni dots, a 25-nm-thick Ni film was deposited on a thermally oxidized (40-nm-thick SiO2) Si substrate at room temperature by electron beam evaporation and irradiated by the same laser system used for the fabrication of the Si dots. F and N were 120 mJ/cm2 and 100, respectively. The thin films were melted by laser beam, and then, the molten thin films were split and condensed due to their surface tensile according to the periodic temperature distribution. Thus, the fine lines of Si and Ni were formed periodically on the SiO2 film, and the periods were almost same as the wavelength of the incident beam. The direction of the fine lines was perpendicular to the electric field vector of the incident beam. After the first irradiation, the samples were rotated by 90o, and the laser beam was irradiated under the same condition as the first one. By the second irradiation, the periodic temperature distribution was generated on the fine lines. Then, the lines were split off and condensed according to the periodic temperature distribution. As a result, the periodically aligned nano-sized dots were fabricated. We evaluated the dots by scanning electron microscopy and atomic force microscopy. The shapes of the Si and Ni dots were hemisphere and ellipsoidal body, respectively. The diameters of the Si and Ni dots were 260 and 350 nm, respectively.We successfully fabricated the periodically aligned nano-sized Si and Ni dots using the spatially periodic temperature distribution induced by laser irradiation on the surface of samples.
12:30 PM - KK8.10
Self-assembled Pseudo-periodic Array of Ceramic Nano-islands.
Michael Rauscher 1 , Suliman Dregia 1 , Sheikh Akbar 1
1 Materials Science and Engineering, The Ohio State University, Columbus, Ohio, United States
Show AbstractA gadolinium doped ceria (GDC) thin film was deposited on an yttria-stabilized zirconia (YSZ) substrate using RF magnetron sputtering. Subsequent delamination of the thin film exposes a modified YSZ surface slightly doped with GDC as a result of recoil implantation during the early stages of sputtering. The modified surface is highly strained and upon annealing breaks up into a periodic array of islands with a narrow distribution in size and shape. Herein we discuss the conditions necessary to create the novel nanostructure, and highlight the influence of annealing on the structure features. Some preliminary characterization with XRD, SEM, and TEM has been performed and will be used to describe the formation mechanism of these features. Film thickness, the stress state of the deposited film, and post deposition annealing have all been determined to play a role though quantification of their relative contributions is ongoing.
12:45 PM - KK8.11
Electron Beam Projection Nanopatterning Using Crystal Lattice Images Obtained from High Resolution Transmission Electron Microscopy.
Hyo-Sung Lee 1 , Byung-Sung Kim 2 , Hyun-Mi Kim 1 , Jung-Sub Wi 1 , Sung-Wook Nam 1 , Ki-Bum Kim 1 , Yoshihiro Arai 3
1 Department of Materials Science and Engineering, Seoul National University, Seoul, Seoul, Korea (the Republic of), 2 , Samsung Electronics Co. Ltd., Gyeonggi-Do Korea (the Republic of), 3 , JEOL Ltd., Tokyo Japan
Show AbstractThe fabrication of nanometer-scale features such as quantum dots and quantum wires, in a controllable and economically viable manner is one of essential requirements for the production of future, ultra-high-density electronic, photonic, magnetic, and biological devices. Here, we propose a new electron beam projection lithography technique for patterning nanometer scale, periodic structures with much improved throughput. The novelty of this technique is that the crystalline lattice image observed by high resolution transmission electron microscopy (HRTEM) is employed as the ultimate mask to generate nanometer scale pattern. Namely, the Ångstrom-scale lattice image of a crystalline material is magnified by 50 to 300 times within the electron microscope, and is projected onto an electron-beam-resist-coated substrate. This technique is tentatively called AIPEL (Atomic Image Projection Electron-beam Lithography). To experimentally prove this concept, we developed the specially designed hardware based on the modification of a 200 kV TEM with a field emission gun (JEM-2010F, JEOL Ltd.). The patterning lenses for controlling the patterning magnification were inserted below objective lens, and the wafer stage for loading the resist-coated wafer was installed in the lithography plane.Using this technique, we successfully fabricated periodic arrays of dot and line patterns with feature sizes of about 25 nm using single-crystalline Si as the mask materials. Moreover, the patterns that can be generated with this technique are not limited to such simple structures as dot and line patterns. The HRTEM images which can be obtained from crystalline samples can be far more complicated, and any of these images could be used to define nanometer-scale features with the present method. Also, it is well known that the appearance of high-resolution images can be varied by changing the defocus values of the objective lens. As these examples, we show the various patterned structures obtained from crystalline beta-silicon nitride (β-Si3N4) sample with hexagonal structure (P63/m). The patterning results of these complicated and interesting nanostructures not only demonstrate the uniqueness of this method but also open up a whole new area of investigating a variety of electrical, optical, and magnetic properties of nanostructures.
KK9: Chemically-Driven and Phase-Stabilized Patterning
Session Chairs
Karl-Heinz Heinig
Kevin F. McCarty
Wednesday PM, November 28, 2007
Room 304 (Hynes)
2:30 PM - **KK9.1
Structure and Properties of Nanoporous Metals Made by Dealloying Ternary Alloys.
Jonah Erlebacher 1
1 Materials Science and Engineering, Johns Hopkins University, Baltimore, Maryland, United States
Show AbstractDealloying has been used to create some interesting and beautiful nanoporous metals. One very common material is nanoporous gold (NPG) made by selective dissolution of silver from silver/gold alloys. NPG has pore and ligament sizes ~15 nm, yielding a high surface area and surprisingly high catalytic activity. Fundamental to the evolution of porosity in NPG is the diffusion of gold atoms along the alloy/electrolyte interface during acid attack. We have been exploring ways to interact with this diffusion mechanism to create new, even more highly porous metals. Our basic strategy has been to aloy with a third component, e.g., Pt, that exhbits low mobility, slowing gold diffusion and stabilizing the nanostructure. Here, we will discuss the evolution of extremely high porosity Pt/Au metals dealloyed from Au/Ag/(Pt), which we observe to exhibit pore sizes less than 4 nm. We will discuss the evolution of the microstructure of this material, as well as applications and unusual nanoscopic properties (mechanical, thermodynamic, and catalytic).
3:00 PM - KK9.2
Morphology Evolution and Pattern Formation Study of Annealed Nanoporous Gold.
Totka Ouzounova 1 , Christopher Umbach 1
1 Materials Science and Engineering, Cornell University, Ithaca, New York, United States
Show AbstractNanoporous metals produced from dealloying of AuAg system can be used in various applications, such as nanofiltration of small entities or making various sensors. Thus, studying the pattern formation of porous gold and it repeatability under varying the dealloying or annealing conditions is of great importance. Dealloying and the porosity formation of nanoporous gold (NPG) structures have been researched thoroughly in the past years [Erlebacher, J. et al., Nature, 410, (2001), 450]. Post dealloying annealing can also produce various porosity from nanometer to micron range, but it have not been studied systematically. Recent studies on Raman properties of NPG [Kucheyev S. O. et al., Appl Phys Lett, 89, (2006); 53102] showed the importance of the predictability of surface patterns during thermal treatments. A lot of factors as impurities and initial morphologies can impede that predictability. We showed that evolution of porous pattern can also depend on annealing environment. The change in pore opening rate can be represented using phenomenological description. In our study we use a phenomenological parameter for description that includes the time of annealing, the temperature of annealing and experimentally determined constants. The phenomenological curve that predicts the pore size is constructed for different ambient atmospheres as oxygen, argon, nitrogen, etc. Using experimental data we are trying to forecast how the pore size will change with varying this parameter (i.e. varying the annealing time or temperature). Repeatability in parent formation and pore size of NPG is also studied. We observed that the pore size depends superlinearly on the annealing time. The coarsening due annealing is studied from 30 nm to several microns. We found that the temperature-dependent coarsening rate of the pore size also depends strongly on the oxygen concentration in the ambient. We have done our study on 200 μm thick membranes when annealed over temperatures from 22°C to 700°C. Very similar study of pattern evolution due annealing is done for NPG films of thickness 100-400 nm. Films are produced by sputtering of AuAg alloy on silicon or glass substrates followed by dealloying. By using technique of exposing membrane to deferent ambient at the same time bi –layers of pores with different sizes can be produced. We showed that this technique of selective annealing can make various pattern in the NPG membranes. This method can be used for producing structures for trapping small entities, microfluidic devices, etc.
3:15 PM - KK9.3
Self-Patterning of Islands During Electrochemical Deposition by Mechanical Constraints.
Tianzhi Luo 1 , Lian Guo 1 , Peter Searson 1 , Robert Cammarata 1
1 , Johns Hopkins University, Baltimore, Maryland, United States
Show AbstractOne of the physical origins of the initial compressive stress often observed during the initial stage of island growth of thin films can be attributed to an interaction between the isolated islands and the substrates. We have deposited Cu islands by electrochemical deposition on amorphous NiTi coated glass substrates. These islands have displayed two distinctive patterns: one with randomly distributed islands with almost uniform size and equal inter-island distance, and the other involving self-patterned islands (also with uniform size) arranged in a line-by-line fashion, forming alternative depleted island-zones and concentrated island-zones. The former (latter) was observed on substrates with good (poor) adhesion between the NiTi films and underlying glass. The self-patterning of islands in the latter case is attributed to an intrinsic-stress-induced NiTi film buckling (or delamination) under external mechanical constraints. The uniform nature of the island sizes is believed to be a result of the long-range island-island repulsion mediated by the substrate at low supersaturation conditions under which surface diffusion of adatoms is so fast that the island growth is interface limited. Additionally, it is proposed that the line-by-line pattern of the islands is a result of the island diffusion along certain directions on the corrugated NiTi film surface. It will be shown that it is possible to tune the patterning of self-assembled islands by adjusting the mechanical constraints during deposition.
4:00 PM - KK9.4
Round and Square Pore Formation in Nanoporous Anodized Aluminum Oxide.
Hau Wang 1 , Catherine Han 2
1 Materials Science Division, Argonne National Laboratory, Argonne, Illinois, United States, 2 , R.J. Daley College, Chicago, Illinois, United States
Show AbstractPattern formation through self-assembly during etching in inorganic material system is fairly uncommon. Nanopore formation in Al, Ti, and some compound semiconductors such as GaAs, InP, etc. are some of the examples. We have focused on aluminum anodization to form nanoporous alumina because the resulting patterns are highly controllable, the materials are stable, non-toxic, and the porous alumina can be used as templates for nanowire and nanotube preparation. The anodization process typically started with electro-polishing followed with oxidation of the metal thin foil under an applied electrical field in an etching solution. Round shaped nanopores with diameters ranging between 20 to ~200 nm arranged in a hexagonally close packed pattern are typically observed. The pore diameters, pore-to-pore distance can be controlled through the anodization potential. We studied the early stage of pore formation with small angle x-ray scattering (SAXS) and grazing incident (GISAXS) techniques (MRS Proc. 2007 Vol. 951 0951-E09-10). The pore height increased as a function of the square root of anodization time. In addition, we applied anodization at 40V to generate a set of nanoindents on the aluminum surface. These nanoindents were used to create a set of lattice with lattice constant reduced from a to a/square root 3. When aluminum surface was scratched or imprinted with micrometer wide line patterns, upon anodization, square pores roughly equal-spaced along the line were observed unexpectedly. Double lines, triple lines, and twined double lines were observed. The double lines consist of two linear arrays of square pores, while the triple lines consist of a third linear array of round pores in the middle. The inner sides of the square pores in the triple lines tend to be some what rounded. Interactions between these square and round pores are clearly evident. Twined double lines are two double lines with a slightly larger gap between the two sets of lines. These results will be presented. Work at Argonne National Laboratory as well as FESEM carried out at EMC are supported by UChicago Argonne, LLC, Operator of Argonne National Laboratory (“Argonne”). Argonne, a U.S. Department of Energy Office of Science laboratory, is operated under Contract No. DE-AC02-06CH11357.
4:15 PM - KK9.5
Control of Capillary Condensation in Tailored Alumina Nanopores.
Felix Casanova 1 , Casey Chiang 1 , Chang-Peng Li 1 , Igor Roshchin 1 , Anne Ruminski 2 , Michael Sailor 2 , Ivan Schuller 2
1 Physics, University of California, San Diego, La Jolla, California, United States, 2 Chemistry and Biochemistry, University of California, San Diego, La Jolla, California, United States
Show AbstractNanoporous materials have promising properties that can be used in applications such as biosensing, chemical sensing or nanotemplates [1,2], among others. Gas adsorption and capillary condensation in nanopores has been largely studied in a wide variety of materials, comprised mostly of disordered/interconnected porous materials such as compacted powder, oxide xerogels or Vycor glass [3,4], but direct comparison with theoretical work has been difficult due to the lack of ideal geometries in the pores [5]. The discovery of silica molecular sieves (MCM-41), consisting of ordered cylindrical pores of controlled size (1-11 nm), allowed a comparison of experimental adsorption with theory, including the relation between pore size and pressure of capillary condensation as well as the presence of hysteresis in the latter [5]. Anodized alumina nanopores can be tailored by controlling anodization parameters [2]. We present a study of capillary condensation of organic vapors in nanoporous alumina with disconnected cylindrical pores, narrow size distribution (<20%) and well-controlled sizes in the 10-60 nm diameter range. We have successfully used very sensitive optical interferometry [1] to obtain adsorption-desorption isotherms. We observe capillary evaporation of the condensed vapor from the nanopores at relative pressures which are in excellent quantitative agreement with the prediction of the classical Kelvin equation [3] without any adjustable parameters, modeling or other assumptions. This is the first time the validity of the Kelvin equation has been checked in independent, regular pores above ~10 nm. On the other hand, capillary condensation occurs from metastable vapor states, giving rise to hysteresis, in qualitative agreement with theoretical and simulation studies that use Van der Waals interactions at the fluid-solid interface [5]. Moreover, we also show that the reproducibility of the hysteresis depends on the strength of these interaction forces. One step further, in order to understand this hysteresis in disordered/interconnected porous materials, simple pore geometries such as the "inkbottle" has been used in theoretical and simulation studies [6]. We have been able to tailor alumina nanopores with some of these simple geometries. Since we can monitor independently the adsorption of every part of the pore with the optical interferometry method, we can check the occurrence of classical pictures such as pore blocking or cavitation, which are important for the development of a theory of the poorly understood hysteresis [4], a phenomenon known for over a century.Work supported by AFOSR and MEC-Fulbright.[1]J. Gao et al, Langmuir 18, 2229 (2002) [2]C.-P. Li et al, J. Appl. Phys. 100, 074318 (2006) [3]S.J. Gregg and K.S.W. Sing, Adsorption, Surface Area & Porosity (Academic Press, 1982) [4]R. Valiullin et al, Nature 443, 965 (2006) [5]A.V. Neimark et al, Phys. Rev. E 62, R1493 (2000) [6]B. Libby and P.A. Monson, Langmuir 20, 4289 (2004).
4:30 PM - KK9.6
The Effect of pH and Acid Type on Anodic Porous Alumina Formation.
Adam Friedman 1 , Derrick Brittain 1 , Latika Menon 1
1 Physics, Northeastern University, Boston, MA, Massachusetts, United States
Show Abstract Anodic porous alumina templates have become a mainstay in the nanoscale manufacturing community because they are inexpensive to produce, easily tuned to specific dimension requirements, and are amenable to the manufacture of a plethora of different types of nanomaterials, ranging from carbon nanotubes to magnetic semiconducting quantum wires. However, as simple as they are to create and use, the underlying physics of their growth is complex, and even after approximately fifteen years of theoretical attempts, a complete and fully satisfying model still eludes the scientific community. Nevertheless, models do exist that try to accurately predict many aspects of the growth process and also describe the relationship between pore diameters and the applied voltages and pH’s of the electrolytic solution. Models have also been developed that describe the observed phenomena of instability in pore growth, and also the effect of pre-patterning the aluminum surface on two-dimensional pore stability. However, very little systematic experimental research has been done to verify these models. In this work, we first summarize the results of the three most widely used models, those of Parkhutik and Shershulsky, Thamida and Chang, and Singh et al. We then present results obtained from a systematic study of porous alumina growth as a function of pH, acid type, and applied voltage. We show that our results are not entirely consistent with either of the models. Finally, by using insights gained from a previous paper by our group that addresses the chemical nature of the porous alumina formation, we will attempt to explain this inconsistency. We will then make suggestions of how to amend the models in order to provide a more accurate representation of the anodization process.
4:45 PM - KK9.7
Nano-sized Taper Structure Formed by Wet Process Using Catalysis of Gold Nanoparticle.
Kensuke Nishioka 1 , Susumu Horita 1
1 , Japan Advanced Institute of Science and Technology, Nomi, Ishikawa, Japan
Show AbstractThis paper describes a novel technique for fabrication of nano-sized taper structure formed by simple wet chemical etching using catalysis of gold nanoparticle. The surface of silicon (Si) substrate with nano-sized taper structure has low light reflectivity less than 5% owing to nano-sized texture structure called subwavelength structure (SWS).Au nano particle dispersion solution (diameter of Au particle: 3-5 nm, protective material of Au particle: polyethyleneimine, solvent: water and n-propyl alcohol) was coated onto Si(111) substrate (n-type, 1-10 Ωcm) with polished surface, and the sample was heated at 100oC for 10 min so that the particles were firmly fixed. Au nano particles were formed by liquid phase reduction method. Then, the samples were soaked in an aqueous etching solution of hydrofluoric acid (HF) and hydrogen peroxide (H2O2) with volume ratio HF(50%):H2O2(30%):H2O = 1:5:10. The surface of 15-min-etched Si substrate appeared black. The spectral reflectivity in a wavelength range from 300 to 800 nm was measured with a spectrophotometer equipped with an integrating sphere. The reflectivity of the 15-min-etched Si substrate was reduced to below 5% throughout the entire spectrum from 300 to 800 nm, and the average reflectivity (wavelength range from 300 nm to 800 nm) was reduced to 3.8% whereas that of the polished Si surface was 40.3%.Morphology of the Si surface was observed with an atomic force microscopy (AFM). A depth of taper structure increased with increasing etching time and that for 15 min etching was 200 nm, and lateral sizes were 50-100 nm. The fractional area occupied by Si as a function of the depth across the textured layer showed a very smooth increase of density up to a depth. The observed optical effects are explained by the formation of a nanoscale texture on the Si surface, representing an effective medium with a smooth transition of the refractive index from that of air to that of Si.The fact that a 200 nm depth texture is formed on the Si surface coated with Au nano particles, while the etch rate of clean Si without Au nanoparticle in the same etching solution is lower than 1 nm/min, clearly shows the catalytic action of the Au nano particles.These effects represent significant improvements of the optical performance for the bulk single- or multicrystalline Si, as well as for thin films. This simple technique opens opportunities for the design of high efficiency solar cells and other optoelectronic devices.
5:00 PM - KK9.8
Alloy Phase Patterning by Constrained Spinodal Decomposition.
Joseph McKeown 1 , Joshua Sugar 1 , Velimir Radmilovic 2 , Andreas Glaeser 1 , Ronald Gronsky 1
1 Materials Science & Engineering, Uinversity of California, Berkeley, Berkeley, California, United States, 2 National Center for Elecron Microscopy, Lawrence Berkeley National Laboratory, Berkeley, California, United States
Show AbstractPhase transformations that occur under volumetrically constrained conditions offer the potential to develop controlled, fine-scale modulated structures. When such a constraint is applied to an alloy system that undergoes spinodal decomposition, the resulting phase-patterned structure is generated by a directed, spontaneous self-disassembly process. Atomic redistribution along specific crystallographic directions results in a composition modulation with a wavelength that depends on time at annealing temperature within the spinodal region of the miscibility gap. The constraint can serve to spatially restrict the growth of new phases and shift the dominant periodicity of the decomposed structure relative to that of a bulk alloy.In these constrained systems, the effects of interfaces and bounding surfaces will become increasingly important as the dimensions of the confinement are decreased. These interface effects involve a complex interplay between interface energy and strain, which can alter the dominant contributing mechanisms driving decomposition and mass flow. The resulting kinetically limited and equilibrium microstructures have a characteristic length scale and morphology for a given annealing time and temperature that is not necessarily the same as in the bulk CuNiFe system.Polycrystalline thin films of a CuNiFe alloy were encapsulated within etched cavities in and sapphire by lithographic patterning, bonding, and deposition techniques, and then converted to single crystals by a nucleation-controlled-liquid-phase-epitaxy process. Two distinct major orientation relationships were obtained:(111)[1-10]CuNiFe||(0001)[1-100]Sapphire(11-1)[1-10]CuNiFe||(11-20)[-1100]SapphireThe structures of these interfaces were studied using conventional and high-resolution transmission electron microscopy (TEM). The wavelength of the composition modulation was monitored using energy-filtered TEM and energy-dispersive spectroscopy. A two-dimensional, fine-scale phase patterning of paramagnetic Cu-rich and ferromagnetic NiFe-rich phases was achieved as a result of the constrained phase transformation. During the early stages of decomposition, the alloy-sapphire interface structure provides diffusional paths that are not available during bulk alloy decomposition. Understanding and manipulating the various competing forces during constrained decomposition provides processing routes to obtain confined, compositionally modulated nanostructures.
5:15 PM - KK9.9
Nanometer-Scale Phase Separation in Epitaxial Alloy Films.
Bo Yang 1 , Mark Asta 1 , Vidvuds Ozolins 2 , Tejodher Muppidi 2
1 Chemical Engineering and Materials Science, University of California, Davis, California, United States, 2 Materials Science and Engineering, University of California, Los Angeles, California, United States
Show AbstractBulk immiscible Fe-Ag and Co-Ag alloys, deposited as epitaxial thin films on Mo(110) and Ru(0001) substrates, are observed experimentally to "self-assemble" into nanometer-scale compositionally modulated stripe and droplet patterns. To investigate such self-assembly phenomena, we have previously proposed a hybrid atomic-scale/contiunuum theoretical model, in which the surface film atoms are described in the atomic Frenkel-Kontorova framework, while the substrate relaxation energy is treated on a continuum scale. This framework allows quantitative modeling of the competition between elastic and chemical interactions underlying the thermodynamic stability of the observed nanometer-scale alloy structures. In this talk, first-principles results obtained within the framework of this hybrid model will be presented in a comparative study of Fe-Ag alloys on Mo(110) and Ru(0001) substrates and implications of this work for achieving highly ordered self-assembled stripe patterns will be discussed. For the system FeAg/Mo(110), our calculations show that the order-disorder phase transition temperature, the modulation direction and periodicity of the ordered domains are in good correspondence with experimental observations. However, the same film system on a Ru(0001) has a much lower phase transition temperature. By comparing the two systems, we conclude that the substantial increase in the thermal stability and regularity of striped patterns on Mo relative to Ru is due to the lower symmetry of the latter. Specifically, anisotropic substrates are shown to be more effective in stabilizing regular compositional patterns. We demonstrate that a longer-period external modulation can be used to guide the self-assembly of aligned patterns with much shorter periodicities and high regularity.
5:30 PM - KK9.10
Li-checkerboard Superlattices: Spontaneous Phase Separation as a Route to Nanoscale Pattern Formation.
Beth Guiton 1 , Peter Davies 1
1 Materials Science and Engineering, University of Pennsylvania, Philadelphia, Pennsylvania, United States
Show AbstractSpinodal decomposition has long been known as a path to spontaneous self-organization of crystalline material on the nanometer and sub-nanometer length scales, yet these structures do not typically display long range super-periodicity. Here we present a remarkable structure in which spontaneous phase separation results in highly periodic checkerboard-like patterns formed by two phases whose dimensions both extend to the nanoscale. This occurs in the lithium-containing perovskite with the formula (Nd2/3-xLi3x)TiO3 to give Li-rich and Li-poor end members. We have demonstrated that this two-dimensional checkerboard pattern extends across entire crystal grains. Furthermore the supercell dimensions are tunable by choice of composition (Guiton and Davies, Nature Materials in press, (2007)). With the introduction of chemical substituents, it should be possible to exploit one or more of the technologically important functionalities in the perovskite library for potential applications such as templating the assembly of nanostructures or molecular monolayers. Both (Nd2/3-xLi3x)TiO3 and related systems showing similar nanoscale features will be discussed.
5:45 PM - KK9.11
Maskless Patterning of Silicon using Nanoindentation.
James Williams 1 , Jodie Bradby 1 , Simon Ruffell 1 , Rui Rao 1
1 RSPSE, Australian National University, CANBERRA, Australian Capital Territory, Australia
Show AbstractNanoindentation of Si with a sharp diamond indenter can induce local transformations to several possible end phases that are stable at room temperature following pressure release. For example, the diamond cubic Si-I phase transforms to a metallic Si-II phase during loading and this further transforms on unloading either to a mixture of high pressure phases (Si-III and Si-XII) or to amorphous silicon (a-Si), depending on the rate of pressure release. Furthermore, so-called relaxed ion-implanted a-Si can also undergo a similar series of phase transformations. Previously we have used a number of ex-situ characterization techniques as well as in-situ electrical probing with a conducting diamond tip to show that these phases exhibit very different mechanical and electrical properties. These observations raise the prospect of using nanoindented regions as a basis for fabricating interesting nanostructures and devices.In this paper we demonstrate the maskless patterning opportunities that nanoindentation can offer to Si processing. In particular, we first show that nanoindentation can be used to fabricate insulating zones (a-Si) within electrically conducting regions (low resistivity Si-I), as well as forming highly conducting regions (Si-III and Si-XII phases) within an electrically insulating matrix (a-Si). Both of the processes can be achieved at room temperature without the use of masks. In addition, we demonstrate the ability to ‘write’ either conducting or insulating lines in Si using nanoindentation methods and outline interesting device opportunities that this opens up. Secondly we illustrate that the Si-I and the different end phases (a-Si, Si-III and Si-XII) exhibit very different wet chemical etch rates and this can be used for direct patterning. For example, the Si-III and Si-XII phases etch more than an order of magnitude slower in KOH than Si-I. We have used these differences to fabricate arrays of nanoscale patterns in both crystalline and amorphous silicon. In particular, the Si-III and Si-XII end phases constitute effective mask materials that can be easily removed by a low temperature anneal (200oC) followed by a light chemical etch. Various characterization techniques, including in-situ and ex-situ electrical measurements, as well as transmission electron microscopy, Raman microspectroscopy and atomic force microscopy are used to demonstrate patterning, microstructure and properties of the nanoindented end phases.
KK10: Poster Session II
Session Chairs
Thursday AM, November 29, 2007
Exhibition Hall D (Hynes)
9:00 PM - KK10.10
A General Nonlithographic Method for Producing Nanodots by RIE Etching.
Jacob Leach 1 , Hadis Morkoc 1
1 Electrical Engineering, Virginia Commonwealth University, Richmond, Virginia, United States
Show AbstractEtching of poly(methyl methacrylate) PMMA by oxygen RIE can result in rough PMMA surfaces. In this work, thin layers of PMMA on various substrates were etched almost completely away by oxygen RIE, leaving only the topmost portion of the roughness, generating nanodots of PMMA approximately 15-20nm in size (Figure 1). After performing this procedure on a thin layer of nickel (50 Angstrom) on Si, the samples were baked for some time at reasonably elevated temperatures in order to harden the PMMA nanodots. The PMMA nanodots survived when the samples were etched in a warm etch bath (phosphoric, acetic, nitric acids), thus generating Ni nanodots on Si. The Ni were then used as an RIE etch mask, thereby generating Si nanopillars. By controlling the oxygen RIE roughening step as well as the subsequent etching step, nanodots of varying size should be attainable. This represents a very simple, low cost, scalable, and general technique to generate nanodots of thin metals on various substrates.
9:00 PM - KK10.11
Kinetic Monte Carlo Simulations of Nucleation and Growth in Electrodeposition.
Lian Guo 1 , Peter Searson 1
1 Materials Science and Engineering, Johns Hopkins University, Baltimore, Maryland, United States
Show AbstractNucleation and growth during bulk electrodeposition is studied using kinetic Monte Carlo (KMC) simulations. Ion transport in solution is modeled using Brownian dynamics and the kinetics of nucleation and growth are dependent on the probabilities of metal-on-substrate and metal-on-metal deposition. Using this approach we make no assumptions about the nucleation rate, island density, or island distribution. The influence of the attachment probabilities and concentration on the time dependent island density and current transients are reported. The results reveal the relation between deposition potential in experiment and the attachment probabilities in simulation. Furthermore, the growth rate of individual islands and the spatial distribution of islands on the surface have been investigated. The growth curves of individual islands show two power law regimes with exponents of 1/2 and 1/6, respectively, and we show that the time associated with the transition between the two regimes is dependent on the local environment and that ion depletion in the vicinity of a growing island increases the spatial ordering of the island distribution.
9:00 PM - KK10.12
Effect of Surface Reconstruction on Adsorption Kinetics and Quality of Self-Assembled Monolayers of Thiols on GaAs (001).
Oleksandr Voznyy 1 , Jan Dubowski 1
1 , University of Sherbrooke, Sherbrooke, Quebec, Canada
Show Abstract9:00 PM - KK10.13
Polyelectrolyte Negative Resist Patterns as Templates for the Assembly of Nanoparticles.
Yuval Ofir 1 , Bappaditya Samanta 1 , Qijun Xiao 2 , Brian Jordan 1 , Hao Xu 1 , Palaniappan Arumugam 1 , Mark Tuominen 2 , Vincent Rotello 1
1 Chemistry, University of Massachusetts Amherst, Amherst, Massachusetts, United States, 2 Physics, University of Massachusetts Amherst , Amherst, Massachusetts, United States
Show AbstractNanoparticles and polymers offer versatile building blocks for the creation of nanoscale materials and composites for electronic devices. We have established a modular approach that integrates top-down and bottom-up techniques for the creation of patterned polyelectrolyte– nanoparticles composites (PE-NP). Electron beam lithography is used to pattern either cationic polyvinyl N-methyl pyridine or anionic sulfonated polystyrene polymers. Both polymers show a behavior of a negative resist, leaving behind the exposed regions upon e-beam exposure. The patterned PEs can then be employed as templates for electrostatic assembly of magnetic, metallic and semiconducting NPs. This synergistic methodology capitalizes on the tuneability and processability of integrated organic and inorganic components and the proven ability of lithographic techniques
9:00 PM - KK10.14
ALD Synthesis and Rapid TEM Characterization of Nanotubes in Anodic Aluminum Oxide (AAO) Templates.
Parag Banerjee 1 2 , Israel Perez 1 2 , Erin Robertson 1 2 , Laurent Henn-Lecordier 1 2 , Sang Lee 3 , Gary Rubloff 1 2
1 Department of Materials Science and Engineering, University of Maryland, College Park, Maryland, United States, 2 Institute for Systems Research, University of Maryland, College Park, Maryland, United States, 3 Department of Chemistry and Biochemistry, University of Maryland, College Park, Maryland, United States
Show AbstractSelf-assembly of nanopores during formation of anodic aluminum oxide (AAO) provides an attractive path to highly regular arrays of high density, high aspect ratio nanostructures for diverse applications. We have formed highly conformal nanotubes in AAO templates using atomic layer deposition (ALD) and analyzed the nanotubes by releasing them chemically (etching away the AAO), observing them in TEM, and analyzing images to obtain quantitative vertical profiles of nanotube wall thickness vs. depth into the nanopores.The AAO template was grown using a 2 step anodization process in 0.3M Oxalic Acid solution at 40V and at 10C, producing hexagonal arrays of nanopores 70 nm in diameter and 4um deep. Amorphous HfO2 ALD films with nominal planar thickness of 10 nm was deposited inside these pores using alternate pulses of Tetrakis Ethyl Methyl Amino Hafnium (TEMAH) and H2O at 200C. The AAO template was subsequently dissolved in NaOH solution, releasing the resulting HfO2 nanotubes from within the template pores. These nanotubes were then filtered and collected on a carbon-coated copper grid and studied in TEM. We have developed image analysis algorithms to rapidly analyze contrast in the bright field TEM images to determine nanotube wall thickness as a function of AAO pore depth. By varying the template geometry and the ALD processing parameters – in particular the diameter of the AAO pores and the pulse time of the precursors, various nanotube profiles were obtained and measured.The ALD process yields a high degree of conformality, while the high aspect ratio of the nanopores enables wall thinning to be observed deep in the pores. Quantitative analysis of nanotube profiles show good agreement with existing ALD process models for nanoscale feature evolution, providing a basis for understanding ALD chemical behavior in confined nanostructures [1],[2]. The high conformality and atomic-scale thickness control available in ALD makes the combination of AAO and ALD very attractive for nanotechnology application in energy and displays (retaining the AAO template in the devices) and in drug delivery for biomedicine (removing the AAO template to form multifunctional nanoparticle delivery systems). In both cases, rapid characterization of the nanotubes by TEM imaging and analysis of freed nanotubes is a valuable approach to process learning and device technology development. References-[1]: Gordon, Hausmann, Kim, Shepard, Chem. Vap. Deposition, 9-2, pp. 73, (2003).[2]: Elam, Routkevich, Mardilovich, George, Chem. Mater., 15, pp. 3507, (2003).
9:00 PM - KK10.15
Block Copolymer Self Assembly in Selectively Removable Templates for Nanoscale Pattern Formation.
Filip Ilievski 1 , Caroline Ross 1
1 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractTemplated block copolymer lithography is a powerful method of fabricating nanostructures which draws on the combined strengths of both top-down and bottom-up methods. Topographical templates have previously been used to impose long-range order on block copolymer systems and we have studied the requirements on such templates[1]. However, using topographical templates results in residual surface relief on the substrate and therefore in the finished device, which is generally undesirable. To avoid this, a removable template may be used. In this paper we will discuss the general requirements of such a system and demonstrate a simple method of fabricating such templates. We used a polystyrene-polyferrocenyldimethylsilane (PS-PFS) block copolymer chosen because of the large etch selectivity between the PS and PFS blocks under oxygen reactive ion etching (RIE). In addition, the PFS block can directly be used as an etch mask to transfer the pattern into underlying hard-masks such as silica[2]. In a thin film of this block copolymer, the PFS forms a monolayer of close-packed spheres in a matrix of PS, with periodicity of 25 nm. Long-range order of the spheres has been demonstrated previously by spin-coating a solution of this copolymer over a silica substrate patterned with shallow substrate steps[1]. In this work we have explored the use of alternative topographical templates that can be removed after the block copolymer spheres have been aligned. Such a template must withstand the solvents used for spin-coating the block copolymer and the high temperatures necessary for annealing, yet at the end, it still needs to be removed. This was accomplished using AZ Clariant BARLi-0.25 anti-reflection coating (ARC). The template consisted of a grating of ARC lines fabricated by interference lithography on a 30nm SiO2 / Si substrate. The lines were 50nm tall and 90nm wide and had a period of 250nm. PS-PFS diluted in toluene was spin-coated onto the template, and annealed for 24 hours at 150°C to induce microphase segregation. Long-range ordering in these grooves was similar to that previously achieved in all-silica templates with similar side-wall roughness. After O2 RIE exposed the PFS domains, n-methylpyrrolidone was used to dissolve away the ARC grating leaving only the PFS domains on a planar surface. PFS sphere patterns can then be transferred into functional materials such as silica, W or magnetic films to make long-range-ordered dot arrays over planar substrates. We will discuss the advantages of this technique for large area self-assembled nanoscale pattern formation, and how it can be applied to the fabrication of various structures including patterned magnetic media, DNA sorting and detection devices or plasmon waveguides.[1] J. Y. Cheng, A. M. Mayes, and C. A. Ross, Nature Materials 3, 823 (2004).[2] J. Y. Cheng, C. A. Ross, V. Z.-H.Chan, E. L. Thomas, R. G. H. Lammertink, and G. J. Vancso, Advanced Materials 13, 1174-1178 (2001).
9:00 PM - KK10.18
Template-Directed Assembly of Polystyrene/Polyacrylic acid Blends on Alkanethiol-Patterned Gold Surfaces.
Ming Wei 1 , Jun Lee 1 , Liang Fang 1 , Sivasubramanian Somu 2 , Xugang Xiong 2 , Ahmed Busnaina 2 , Carol Barry 1 , Joey Mead 1
1 Plastics Engineering, Umass Lowell, Lowell, Massachusetts, United States, 2 Mechanical and Industrial Engineering, Northeastern University, Boston, Massachusetts, United States
Show AbstractPatterned polymer structures offer potential for a variety of applications, such as polymeric optoelectronic devices and biosensors. Commercialization of these devices will require novel nanomanufacturing approaches. This work focuses on the directed nanoscale assembly of macromolecules using alkanethiol-patterned gold templates. Two different self-assembled monolayers, including hydrophilic 11-amino-1-undecanethiol hydrochloride (AUDT) and hydrophobic octadecanethiol (ODT), were patterned onto gold using a unique patterning technique, combining conventional E-beam lithography with molecular self-assembly. This patterning method offers advantages including high resolution, patterning over large areas, and the use of a wide range of chemical functionalizations. The patterned alkanethiol templates can be used to control the deposition of pure polymers or polymer blends. Polystyrene (PS)/polyacrylic acid (PAA) blends and pure PS or PAA were spin-coated on patterned surfaces resulting in the preferential assembly of PAA onto the AUDT monolayers and the assembly of PS onto the ODT monolayers. The effect of alkanethiol type and annealing on the assembly were also investigated. This directed assembly method provides a powerful tool for rapid patterning of multiple macromolecules in a single-step fashion.
9:00 PM - KK10.19
Ordered Arrays of Complex Structures Fabricated by Nano Pinhole Lithography.
Cheng Huang 1 , Nadine Geyer 1 , Bodo Fuhrmann 1 , Frank Syrowatka 1 , Hartmut Leipner 1
1 Interdisciplinary Center of Materials Science, Martin-Luther-University Halle, Halle Germany
Show AbstractThere is a big demand of lithographic methods with nanometer resolution in research and development in the field of nanotechnology. Direct writing of arbitrary structures of any desired material is not possible because of the problem to focus atomic beams. We present in this work a method for the fabrication of ordered arrays of complex structures, which follows the principle of a pinhole camera, well known in optics. By using atomic beams in high vacuum instead of visible light it should be possible to overcome the problem of the diffraction limit for pinholes with diameters in the 100 nm range and consequently to apply this principle for the fabrication of nanostructures. Masks with a regular pinhole array have been fabricated by self assembly of monodisperse spherical polystyrene (PS) particles with diameters of 0.5 µm to 4.37 µm into hexagonally closed packed monolayers on silicon or glass substrates. A subsequent annealing of the PS layer has been used to adjust the size of the apertures between the PS spheres. Their diameter is controlled by annealing time and temperature in the range of 50 to 300 nm, depending on the the PS particle size. The distance between each pinhole and the substrate is fixed and determined by the radius of the PS particles.Nickel coated tungsten wires manufactured by electroplating were bent to form thermal evaporation sources of the desired shapes and used in a high vacuum evaporation system. The shape of the wire was then imaged onto the substrate by evaporation through each aperture of the monolayer polymer sphere mask.In agreement with the estimation of the de Broglie wavelength of nickel atoms from their thermal energy, being 6 pm, it has been found, that the resolution of the image is limited by the pinhole diameter. Minimum line widths of 50 nm could be written. The profile height of the structures written was in the range from 5 to 20 nm, depending on the thickness of the nickel coating of the evaporation source. Evaporation sources of different shapes were imaged with a scale of up 1:100000.Possible applications of this technique are the fabrication of optical sensors and components, e.g. metamaterials.
9:00 PM - KK10.2
Observations of Nanoporous foam Arising from Impact and Rapid Solidification of Molten Ni Droplets.
Meng Qu 1 , Yuhong Wu 1 , Andrew Gouldstone 1 , Vasudevan Srinivasan 1
1 Ctr. for Thermal Spray Research, SUNY Stony Brook, Stony Brook, New York, United States
Show AbstractIn the thermal spray community, there has been a great amount of theoretical, computational and experimental work focused on molten droplet impact and the formation of splats-rapidly cooled thin films-on substrates. For the most part, studies have covered morphology - while high-magnification microstructural investigations exist, they have been less prevalent. In addition, these latter studies have been primarily discussed in the context of rapid cooling and solidification; less attention is paid to the impact itself. In this talk, we will discuss new high-magnification findings on Ni and Co splats on substrates. We have recently discovered that under specific experimental conditions, the combination of high impact pressure (~1GPa) and rapid depressurization (100 ns) of this droplet causes an exceedingly high nucleation rate of gas bubbles at the droplet/substrate interface. These bubbles are subsequently frozen, producing a highly nanoporous surface. Nanoporous surfaces and structures are exciting for a wide range of applications, but fabrication is often material-specific. Here we will discuss specific mechanisms of this phenomenon, and recent experimental strategies to control size and location of the pores, as well as expansion to other materials systems. This is notable as it not only gives insight into the impact/solidification physics, but provides the potential to use a century-old method to fabricate technologically important structures of fundamental interest.
9:00 PM - KK10.20
Supramolecular Organization of Conjugated Molecules into 1D and 2D Nanostructures: Relationship between the Morphology and the Optoelectronic Properties.
Mathieu Surin 1 2 , Philippe Leclere 1 , Roberto Lazzaroni 1 , Paolo Samori 2
1 Chemistry of Novel Materials, University of Mons-Hainaut, Mons Belgium, 2 Institut de Science et d'Ingénierie Supramoléculaires, University Louis Pasteur, Strasbourg France
Show AbstractNowadays, pi-conjugated organic semiconductors appear as novel, attractive materials for optoelectronic applications, in which their versatility and their easy processability offer new opportunities compared to inorganic materials. In the context of the miniaturization of those organic electronic devices, a new, promising path lies in the “supramolecular electronics” approach, which consists in using molecular building blocks that can spontaneously assemble into functional structures by supramolecular interactions. This novel “bottom-up” approach relies on the self-assembly of pi-conjugated organic molecules in order to fabricate semiconducting structures that scale between 5 and 100 nm.[1] In this context, we present several approaches to fabricate thin deposits (via solution techniques) of 1D and 2D nanostructures by using different types of supramolecular interactions between conjugated molecules: i) metal-ligand interactions : We describe the design and study of 1D coordination networks forming either 1D or 2D nanoscale arrays at the surface. This patterning has been generated by self-assembly processes upon combining a directional rigid organic coordinating tecton bearing two differentiated coordination poles with suitable metal centres.[2]ii) pi-pi interactions :In conjugated oligomers and polymers, these interactions can lead to the formation of nanoribbons. Here we study the impact of the molecular architecture on the solid-state morphology, with a special emphasis on the importance of molecule-substrate interactions.[3] iii) Hydrogen-bonds :Here we describe conjugated oligomeric compounds for which the formation of nanowires is lead by H-bonds in the side-chains, and comparisons are established with the 1D structures obtained from the previous approaches.Finally, we establish relationships between the structural order within the thin deposits of model conjugated structures and their optoelectronic properties, by studying the photoluminescence in the solid state (which determines their applicability for Light-Emitting Diodes), or the charge transport properties in Field-Effect Transistor configuration. References[1] A.P.H.J. Schenning, E.W. Meijer, Chem. Commun. 2005, 3245.[2] M. Surin, P. Samorì, A. Jouaiti, N. Kyritsakas, M.W. Hosseini, Angew. Chem. Int. Ed. 2007 (46), 245.[3] Ph. Leclère, M. Surin, P. Brocorens, M. Cavallini, F. Biscarini, and R. Lazzaroni, Mater. Sci. Eng. R - Reports 2006 (55), 1-56.
9:00 PM - KK10.21
Fabrication of Microporous and Microdot Polymer Films by using Self-organization Method and their Applications for Non-wetting Surfaces.
Miki Kojima 1 , Hiroshi Yabu 2 3 4 , Masatsugu Shimomura 2 3 4
1 , Graduate School of Engineering, Tohoku University, Sendai Japan, 2 , IMRAM, Tohoku University, Sendai Japan, 3 , RIKEN Institute, Wako Japan, 4 , JST, CREST, Kawaguchi Japan
Show AbstractMicroporous films are attractive materials due to their unique wettabilities that are enhanced by wide surface areas. These wettabilities on the patterned surface is explained by following two equations. From the standpoint of surface-free energy, the water contact angle (WCA, θw) is expected by the Wenzel’s equation (1), cosθw = r cosθ (1) where θ is WCA on the flat surface, and r is the increasing rate of the porous surface from the original flat surface. When the surface is composed by two different materials, the WCA is shown below from the Cassie’s equation (2), cosθw = r1 cosθ1 + r2 cosθ2 (2) where r1 and r2 are the rate of the surface area fractions of the component 1 and the component 2, respectively (r1+ r2 = 1). And θ1 and θ2 are the WCA on the flat films of component 1 and the component 2, respectively. Here, the component 2 is air and the WCA on air is assumed to 180 degree, the equation (2) can be written as follows;cosθw = -1 + r1 (cosθ1 + 1) (3) We have reported that honeycomb-patterned films can be prepared by casting a polymer solution of amphiphilic copolymer and hydrophobic polymer under humid condition by using condensed water droplets formed on a solution surface as templates [1]. The pore size of honeycomb-patterned films can be controlled by changing the preparation conditions (e.g. evaporation time of the solvent). Honeycomb-patterned films can be prepared from various liner polymers, e.g. polystyrene. Additionally, a pincushion structure with arranged spikes can be obtained by peeling off the top layer of honeycomb structure of liner polymer [2].We have also found that honeycomb-patterned film from photo-crosslinkable resin can be prepared by casting their solution under humid conditions and photo-irradiation [3]. A chloroform solution of following three compounds; an amphiphilic copolymer, liquid type bisphenol-A-based epoxy resin (Kyoritsu Chemical & co., ltd.) and photo curing agent (iodonium cation type, Kyoritsu Chemical & co., ltd.) was cast on a Petri dish with applying highly humid nitrogen gas. After UV irradiation to the cast solution, the cross-linked film was obtained. The film showed honeycomb-patterned structure with compartmental pores. After the photo-crosslinked honeycomb-patterned film immersed in chloroform and applied ultrasonic wave, the porous structure peeled from the surface of the film, and the hexagonal dot-array structure was formed on the surface of the film.These surface patterns expected to show high water repellency based on the equation (1) and (2). Especially, the pillar shows superhydrophobic properties. Here we show the application of these structured films for water-repellent surfaces.References[1] H. Yabu, Y. Hirai, M. Shimomura, Langmuir 22 (2006) 9760[2] H. Yabu, M. Takebayashi, M. Tanaka, M. Shimomura, Langmuir 21 (2005) 3235[3] H. Yabu, M. Kojima, M. Tsubouchi, S. Onoue, M. Sugitani, M. Shimomura, Colloids and Surfaces A. 284-285 (2006) 254
9:00 PM - KK10.22
Fabrication of Patterned Lateral Templates with Different Arrays in Device Oriented Approach.
Gowtham Manoharan 1 , Laurent Eude 1 , Costel sorin Cojocaru 1 , Pribat Didier 1
1 Laboratory of Physics of Interfaces and Thinfilms, Ecole Polytechnique, Palaiseau France
Show AbstractOrdered porous materials have attracted increasing attention in recent years due to their possible utilization as templates for nanosize structures .One approach to the fabrication of such templates structures has been to use a self organized structure as a host. This approach is promising especially for the preparation of large-area, nanometer-sized structures with high aspect ratios which are difficult to form by a conventional lithographic process. Porius anodic alumina (PAA), which is prepared by the anodic oxidation of aluminum in an acidic electrolyte, is one of the typical self-organized fine structures with a nanohole array, which has been studied in detail in various electrolytes over the last five decades. It is known that the alignment and distribution of nanotubes and nanowires are important in electronic device applications.Inorder to solve this problem PAA structures are used widely as templates. Highly vertically aligned carbon nanotubes arrays are generally fabricated on silicon substrates by chemical vapour deposition using PAA as templates, which contains nanochannels with diameters ranging from several nanometers to few hundred nanometers. Silicon nanowires with well-controlled diameters ranging from 100 to 340 nm were also grown in alumina nanoporous membranes has been reported. In the usual situation, the pore array and hence the array of template-grown nano objects are perpendicular to the surface of the substrate, which complicates (from a topographic point of view) the organization of electrical contacts, as far as three terminal devices are concerned. In the integrated circuit fabrication process, planar type configuration is preferred to have a high degree of integration. So we need patterned lateral templates on silicon substrates in specific location, which is demonstrated here. This approach will give a way for the fabricating templates in planar configuration. Here we report the lateral alumina templates with the different pore size starting from 5nm to 100nm and with different arrays down to one dimension with the pore diameter of 20nm.
9:00 PM - KK10.23
Crystallization Behaviour of Small Organic Materials on Nanopatterned Polymeric Surfaces.
Lee Min Kyung 1 , Lee Jonghwi 1
1 School of Chemical Engineering & Material Science , Chung-Ang University, Seoul Korea (the Republic of)
Show AbstractThe 5’-guanosine monophosphate (GMP) is crystalline in its bulk state. The crystallization of the GMP was performed on nanopatterned polymeric substrates in order to investigate the influence of the surface characteristics on crystallization. The nanopatterned polymeric substrates were served as a nano-reactor. This study deals with the interaction between GMP crystals and the surface of poly(styrene-b-ethylene oxide) (PS-b-PEO) nanopatterned arrays. Thin films of PS-b-PEO were deposited on the silicon wafers by spin coating (33 s at 3000 r.p.m.). The patterned polymeric substrate (nanotemplate) was dipped into a GMP solution. Through this process, the crystallization of GMP was performed on patterned polymeric substrate. It was analyzed using the Atomic Force Microscopy (XE-100, PSIA). Patterned polymeric substrates have the ordered cylindrical domains of 20-30 nm. This thin film consists of hydrophilic PEO cylindrical domain and hydrophobic PS surfaces. The surface of the substrate was confirmed by using AFM. The surface topography of the nanostructured substrate, after the step of crystallization of the GMP, was analyzed using AFM. It clearly appears that spherical particles cover the nanopore arrays of the substrate. They followed the regularity of the substrate patterns. The surface where the hydrophilic GMP existed was the hydrophilic PEO domain. Accordingly, the observed crystallization on the nanopatterned polymeric substrate seems to be restricted by the surface characteristics due to the interactions between GMP and the surface.
9:00 PM - KK10.24
Controlled Ordering of Block Copolymer Thin Films by the Addition of Hydrophilic Moieties.
Sung Chan Park 1 , Bumjoon Kim 2 4 , Craig Hawker 2 3 5 , Edward Kramer 2 3 4 , Joona Bang 1 , Jeong Sook Ha 1
1 Chemical and Biological Engineering, Korea University, Seoul Korea (the Republic of), 2 Materials Research Labortary, University of California, Santa Babara, California, United States, 4 Chemical Engineering, University of California, Santa Babara, California, United States, 3 Materials, University of California, Santa Babara, California, United States, 5 Chemistry and Biochemistry, University of California, Santa Babara, California, United States
Show AbstractRegular nanoscopic patterns formed via self-organization of block copolymer thin films can be used as templates for the fabrication of tailored nano-scale structures such as nanodot arrays, regular hole patterns, and nanochannels. These templates have been extensively studied due to their potential applications ranging from nano-lithographic application to optoelectronics, membrane, magnetic devices, and light emitting devices. Here we present a novel strategy to control the orientation of polymeric microdomains through the use of specifically tailored nano-scaled organic or inorganic particles as structure directing agents. Hydrophilic nanoparticles, PEO-coated gold nanoparticles or PEO-star polymers, were incorporated into poly(styrene-b-methyl methacrylate) (PS-b-PMMA) diblock copolymers that exhibit PMMA cylinders. Due to the miscibility between PEO and PMMA, PEO-coated gold nanoparticles and PEO-star polymers were selectively positioned within PMMA microdomains. By controlling the relative humidity and the volume fraction of hydrophilic nanoparticles, we could obtain the perpendicular orientation of the PMMA cylinders to the substrate without any neutralization layer. The film morphologies were investigated by scanning force microscope and scanning electron microscope. Also, the traces of Au-PEO and PEO star polymer were confirmed by transmission electron microscope measurement. At relative humidity of 90 %, it was found that both the average pore size and the homogeneity of the PMMA domains within a PS matrix were strongly dependent on the loading of hydrophilic nano-scaled organic or inorganic particles, producing well-aligned PS-b-PMMA cylindrical structures perpendicular to the substrate within some range of particle volume fractions. Further details, such as the effect of humidity and the amount of loaded particles on the film morphology, will be presented.
9:00 PM - KK10.25
Direct Assembly of Colloidal Particles on Patterned Polyelectrolyte Multilayer Templates.
Yong Hoon Kim 1 2 , Byeong Su Kim 1 , Paula Hammond 1
1 Department of Chemical Engineering, Massachusetts Institute of Technology, Cambrigde, Massachusetts, United States, 2 , Furukawa Electric Co. Ltd., Yokohama Japan
Show AbstractThe assembly and fabrication of nano-scale colloidal structures are very promising techniques for the creation of novel devices. In this paper, we demonstrate the patterning of polyelectrolyte multilayer using polymer-on-polymer stamping methods. The resulting pattern is then used as a template for the further deposition of nano particles on the surface. The resist layer is created by stamping an ethylene oxide-methacrylic acid block copolymer onto the top surface. Dot patterns created in a two-dimensional square array produce colloidal clusters. By manipulating adsorption conditions, it is possible to direct two different sets of nano particles to different surface regions based on the manipulation of secondary interactions such as hydrophobicity. The resulting composite colloidal structures will present interesting technological applications in electronics and sensors.
9:00 PM - KK10.26
Raman Spectrum of Graphene Coated Nano-Holes.
Amrita Banerjee 1 , Ruiqiong Li 1 , Haim Grebel 1
1 Electronic Imaging Center, New Jersey Institute of Technology, Newark, New Jersey, United States
Show AbstractIt was assumed in the past that graphene cannot exist in a free state form because it is thermodynamically unstable and would roll into carbon soot. Recently though, single and few layer graphene were made into films on substrates. At the same time, anodized aluminum oxide (AAO) gained interest for its organized nano holes structure. We combine these two elements in order to investigate nonlinear optical properties of grapheme on AAO substrates. Surface enhanced Raman spectroscopy (SERS) is a widely used tool to study the vibration states of molecules. Periodic structures are sometime used to couple the pumping laser light to surface charge waves. Such resonant conditions were utilized to analyze the Raman signal of carbon nanotubes and bio-species. Our intent here is different – we use the array of nano-hole in the AAO as a template to form periodic charge distribution in the graphene. Linear and nonlinear optical methods were used to characterize such nano-system.
9:00 PM - KK10.27
Fabrication of SnO2 Nanowire Arrays Using Surface Relief Grating.
Fadong Yan 1 , Lian Li 1 , PilHo Huh 1 , Yanping Wang 1 , Lynne Samuelson 2 , Jayant Kumar 1
1 Center for Advanced Materials, Departments of Chemistry and Physics, University of Massachusetts, Lowell, Massachusetts, United States, 2 , U.S. Army Natick Soldier Center RDEC, Natick, Massachusetts, United States
Show AbstractSnO2 is widely used in sensors of combustible gases, such as H2 and CH4. SnO2 based gas sensors are often made by chemical etching, chemical vapor deposition or photolithography. Here we report a maskless and vacuum-free method to fabricate large area one dimensional highly-ordered SnO2 nanowire arrays assisted by surface relief grating on azobenzene functionalized polymer thin films. Atomic force microscopy, scanning electron microscopy and energy dispersive X-ray spectroscopy characterizations confirm the successful fabrication of SnO2 nanowire arrays with a periodicity of 1µm and a width of a few hundred nanometers. This technique is expected useful for fabricating gas sensors and organic photovoltaic devices.
9:00 PM - KK10.28
Chemically Modified Solid State Nanopores.
Meni Wanunu 1 , Amit Meller 1
1 Biomedical Engineering, Boston University, Boston, Massachusetts, United States
Show AbstractSolid state nanopores fabricated in thin inorganic membranes are simple and robust single-molecule sensors. However, sensing is not feasible unless a modular component is present inside the pore, thus enabling selectivity for particular analytes. To resolve this, we have recently introduced a new class of chemically modified nanopore sensors fabricated in silicon nitride membranes, with nanopore dimensions approaching the molecular regime in 3D (e.g., <5 nm).* The chemical modification process can be performed in two approaches: (1) self-assembly from solution, in which nanopores 10 nm diameter can be reproducibly coated, and (2) self-assembly under voltage-driven electrolyte flow, in which we are able to coat smaller nanopores. In this paper, we directly demonstrate the chemical functionality of the nanopores and its utility in various sensing strategies. * M. Wanunu, A. Meller, Nano Letters, 7 (2007), 1580-1585.
9:00 PM - KK10.29
Site-Specific Control of Distances between Gold Nanoparticles using a Modification on DNA as an Anchor and a Short Bifunctional Fastener.
Jung Heon Lee 1 4 , Daryl Wernette 2 4 , Mehmet Yigit 3 4 , Juewen Liu 2 4 , Lu Yi 2 1 4
1 Materials Science and Engineering, University of Illinois at Urbana Champaign, Urbana, Illinois, United States, 4 Beckman Institute for Advanced Science and Technology , University of Illinois at Urbana Champaign, Urbana, Illinois, United States, 2 Department of Chemistry, University of Illinois at Urbana Champaign, Urbana, Illinois, United States, 3 Center for Biophysics and Computational Biology, University of Illinois at Urbana Champaign, Urbana, Illinois, United States
Show AbstractPrecise control of the position of and distance between nanomaterials is at the heart of nanoscale science and technology. DNA has been shown to be highly programmable molecules resulting in a number of 2D and 3D nanostructures. Despite the huge promise, functionalizing these DNA-based nanostructures with nanomaterials has been a challenge in the field. Here we introduce a simple but precisely controllable method to assemble gold nanoparticles (AuNPs) on DNA by using a simple modification on DNA as an anchor and a bifunctional linker that can connect a AuNP to DNA as a fastener. The chemical attachment between a AuNP and a bifunctional fastener treated modified DNA has been demonstrated in solution by plasmon peak shift as AuNPs aggregate and disassemble due to the DNA hybridization and denaturation. Distance between AuNPs assembled on DNA could be controlled by simply changing the position of the modification on DNA with identical sequences and it could be observed on surface by Scanning Electron Microscopy (SEM) images and statistic analyses. The methodology demonstrated can be applied to using DNA for precise distance and topological control of nanomaterials in one, two, and three dimensions.
9:00 PM - KK10.3
Pattern Formation During Nanowear of Gold Films.
Megan Pendergast 1 , Xiaolu Pang 2 1 , Alex Volinsky 1
1 Mechanical Engineering, University of South Florida, Tampa, Florida, United States, 2 Department of Materials Physics and Chemistry, University of Science and Technology Beijing, Beijing China
Show AbstractGold has high electrical conductivity and corrosion resistance, thus it is used in a wide variety of applications, from MEMS to dentistry. Its performance in varying environments is technologically important, and needs to be addressed.We studied the effects of water on the wear resistance of 3 um thick sputtered gold film on silicon substrate using contact AFM and a scanning nanoindenter. Higher wear rate was observed in the presence of water versus air lab environment (55% humidity) and dry nitrogen atmosphere.An additional phenomenon observed during the wear experiments was the formation of gold surface ripples perpendicular to the long scan direction. Possible mechanisms of the ripples pattern formation are discussed.
9:00 PM - KK10.30
Tunable Liquid Micromirror Based on Self-assembly of ``Janus” Particles.
Mike Bucaro 2 , Paul Kolodner 2 , J. Ashley Taylor 1 , Tom Krupenkin 1
2 , Bell Labs, Alcatel-Lucent, Murray Hill, New Jersey, United States, 1 , University of Wisconsin-Madison, Madison, Wisconsin, United States
Show Abstract9:00 PM - KK10.31
Ribbon-like Patterns of Self-assembled Gold Nanoparticles using Liquid Crystal Templates.
George John 1 , Praveen Kumar Vemula 1 , Ajay Mallia 1 , Ashavani Kumar 2 , Pulickel Ajayan 2
1 Department of Chemistry, City College of the City University of New York, New York, New York, United States, 2 Deapartment of Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States
Show Abstract9:00 PM - KK10.32
Development of Oil/Water/Surfactant Microemulsions as Templates for Micro and Nanostructured Metal Foams.
Martin Bakker 2 1 , Renee Woo 2 , Brenda O'Neal 1 , Leigh McKenzie 1 , Jason Manning 2 1
2 Department of Chemistry, The University of Alabama, Tuscaloosa, Alabama, United States, 1 Center for Materials for Information Technology, The University of Alabama, Tuscaloosa, Alabama, United States
Show AbstractThere are a range of applications for bicontinuous metal micro and nanostructures including as porous electrodes for supercapacitors, in fuel cells and in advanced batteries. We have previously demonstrated a number of methods of generating metal nanostructures by electrodeposition into various templates including hexagonal surfactant phases and mesoporous silica. More recently we have been exploring the application of oil-in-water microemulsions as templates. We have found empirically that the formation of microstructure is strongly effected by the viscosity of the oil phase, the rate of metal electroreduction and the surface roughness of the substrate. We interpret these observations as reflecting a competition between growth of the metal around the oil droplet and the redistribution of the oil in front of the growing metal film. We are currently exploring bicontinuous and water-in-oil phases with the expectation that such templates will yield bicontinuous metal films with larger accessible surface areas. Preliminary results with water-in-oil phases appear to show the formation of bicontinuous nanostructured films on the 20 nm length scale.
9:00 PM - KK10.33
Self-Organized Swelling of a Metal-Capped Polymer Thin Bilayer.
S. Joon Kwon 1 , Jae-Gwan Park 1
1 Nano Science and Technology Division, Korea Institute of Science and Technology (KIST), Seoul Korea (the Republic of)
Show AbstractWe report on the formation of self-organized surface patterns on a thin metal film-capped polymer bilayer on a substrate by swelling. The self-organization is directed by a periodically corrugated elastomeric mold which exerts a nodal effect that confines the intrinsic swelling wave, and the resulting self-organized patterns are anisotropic and quite periodic. The corrugated pattern confined by the elastomeric mold was observed from the earlier stages of swelling while the small wrinkles (the first self-organized pattern) were formed in the later stages of the swelling. The temporal evolution of the first self-organized pattern was analyzed theoretically based on the wave interaction relationship between the intrinsic swelling wave and the periodic corrugation formed in the earlier stages, and the experimental data were well matched with the results of the analysis. The maximum value of the harmonic number for the wave number of the corrugated pattern was also analyzed. The strain in the metal thin film increases with increasing swelling time, and arrives at a state of mechanical equilibrium (strain growth rate ~ 0). The growth rate and moment of arrival of the strain at the state of mechanical equilibrium were different for the metal films on the ridge and groove of the corrugated pattern. In the case of the self-organized swelling directed by a periodically corrugated elastomeric mold with a relatively large line width, irregular wrinkles or twisted patterns (the second self-organized pattern) were observed which were easily distinguished from the corrugated patterns by the pattern replication and the first self-organized swelling patterns.
9:00 PM - KK10.34
Pattern Formation in Nanoscale Shape-Memory Materials: a Phase-Field Study.
Mathieu Bouville 1 , Rajeev Ahluwalia 1
1 , Institute of Materials Research and Engineering, Singapore Singapore
Show Abstract9:00 PM - KK10.35
Patterning of Ge2Sb2Te5 Nanostructures by Using Atomic Image Projection e-beam Lithography System.
Sung-Wook Nam 1 , Hyo-Sung Lee 1 , Hyun-Mi Kim 1 , Jung-Sub Wi 1 , Min-Hyun Lee 1 , Kipil Lim 1 , Min-Ho Kwon 1 , Ki-Bum Kim 1
1 Department of Materials Science and Engineering, Seoul National University, Seoul Korea (the Republic of)
Show AbstractAs one of the ways to generate the highly ordered arrangements of nanostructures, we suggest the patterning process by using Angstrom-scale atomic images which exist in crystalline materials. In nature, there exists highly aligned crystalline lattice structures and we can observe this atomic arrangement images using high resolution transmission electron microscope (HR-TEM). Especially, for one crystalline structure, we can obtain various kinds of image by controlling focus value, sample thickness, and sample tilting. For example, β-Si3N4 which has 6-fold symmetry in [001] zone axis can produce not only various 6-fold symmetry lattice images but also the highly aligned line images, if the sample is properly tilted. We can transfer the atomic image signal to the target substrate because the atomic images in HR-TEM have their own e-beam contrast. Basically, this concept is based on e-beam lithography technique. In order to realize this concept, we modified HR-TEM equipment by functionalizing of the magnification-controlling lens system and the wafer loading stage. Based on this modification scheme, we explored the projection type e-beam lithogrpahy system by using crystalline lattice structures, and named it as Atomic Image Projection E-beam Lithography (AIPEL) system. We successfully transfered the atomic images to the target substrate by using high resolution e-beam resist, and fabricated silicon dot and line arrays with the feature size of ~30 nm. We extend this patterning technology to the practical application for optcial and electrical devices. As an application material, we choose Ge2Sb2Te5, one of the widely used chalcogenide materials in optical and electrical data storage such as digital versatile disk (DVD) and non-volatile phase change random access memory (PRAM). Especially, Ge2Sb2Te5 has an attractive physical property such as fast reversible switching between amorphous and crystalline states, and at each state, it shows different optical and electric properties. To fabricate the Ge2Sb2Te5 nanostructures, at first, we optimized conventional e-beam lithography process and successfully demonstrated ~40 nm scale Ge2Sb2Te5 nanostructures with forms of line and dot arrays. We will adopt this optimized fabrication method to AIPEL system and transfer β-Si3N4 atomic image to Ge2Sb2Te5 to generate the ensemble of Ge2Sb2Te5 nanostructures.
9:00 PM - KK10.36
Thermochemical Nanolithography: A High-speed, High Resolution Versatile Tool.
Debin Wang 1 , Robert Szoszkiewicz 1 , Takashi Okada 2 , Simon Jones 2 , Tai-De Li 1 , Marcel Lucas 1 , William King 3 , Seth Marder 2 , Elisa Riedo 1
1 School of Physics and Center for Organic Photonics and Electronics, Georgia Institute of Technology, Atlanta, Georgia, United States, 2 School of Chemistry and Biochemistry and Center for Organic Photonics and Electronics, Georgia Institute of Technology, Atlanta, Georgia, United States, 3 Department of Mechanical Science and Engineering, University of Illinois Urbana-Champaign, Urbana, Illinois, United States
Show AbstractRecently, the development of a versatile thermochemical nanolithography (TCNL) technique has been reported [1]. It allows simultaneous control of the local chemistry and topography of thin polymer films. This technique can pattern sub 15 nm chemical and topographical features at the rate of 1.4 mm per second by inducing thermally-activated chemical reactions by means of a heated atomic force microscope (AFM) tip. TCNL is achievable in different environments and can easily be adapted to a variety of substrates and chemical functionalities. Here, we demonstrate that a thin polymer film can be chemically modified twice using TCNL to tune its wettability. We are able to write hydrophilic nanopatterns over a hydrophobic polymer surface upon a first step heating and then revert back to hydrophobic surface by a second step heating. This write-read-overwrite capability is particularly useful in data storage application and complex nanofluidic device design. In addition, we show the potential of TCNL to be employed in bio-compatible polymer surface nano-functionalizations to study cellular functions such as motility, proliferation, differentiation and apoptosis.[1] R. Szoszkiewicz, T. Okada, S. C. Jones, T.-D. Li, W. P. King, S. R. Marder, and E. Riedo, Nano Lett. 2007, 7, 1064-1069.
9:00 PM - KK10.37
Generating Small-Scale Structures from Large-Scale Ones via Optical Near-field Interactions.
Makoto Naruse 1 2 , Takashi Yatsui 3 , Hirokazu Hori 4 , Kokoro Kitamura 2 , Motoichi Ohtsu 2
1 , National Institute of Information and Communications Technology, Tokyo Japan, 2 , The University of Tokyo, Tokyo Japan, 3 , Japan Science and Technology Agency, Tokyo Japan, 4 , University of Yamanashi, Yamanashi Japan
Show AbstractOptical near-fields, which appear in the extreme vicinity of structures when irradiated with light, exhibit a hierarchical nature, meaning that the degree of localization of optical near-fields at a given point is related to the scale of the structure involved in this process. Therefore, if we could make optically induced fabrication processes selectively localized in the near-field region, we could generate a smaller-scale structure even from a larger-scale one via optical near-field interactions. We experimentally demonstrate such principles by using ZnO nanoneedles and a photo-induced metal-organic vapor phase epitaxy (MOVPE) procedure where smaller-scale generated structures were clearly observed with the help of light irradiation. In the experiments, we first fabricated ZnO nanoneedles on a sapphire (0001) substrate using a MOVPE system. Diethyl zinc (Et2Zn) and oxygen were used as reactants. A high density of ZnO nanoneedles was vertically aligned over the entire substrate, and they exhibited sharp tips. Typically, the nanoneedles exhibited a mean tip radius of 5 nm, which is expected to generate strong optical near-fields. After growing the nanoneedles, we deposited Zn nanoparticles. To realize near-field deposition, we conducted deposition using photo-induced chemical reaction at low temperature so that thermal deposition was negligible. Since the Et2Zn is dissociated at temperatures exceeding 150 oC, we deposited Zn at 60 oC and used a He-Cd laser with a wavelength of 325 nm as a light source for dissociating the Et2Zn. The flow rates of Et2Zn including Ar carrier gas ranged from 20 to 100 sccm. We irradiated He-Cd laser light with an average power of 1 mW for 5 minutes. In the areas where the light was irradiated, fine structures appeared at the apex and vertex of the nanoneedles. We attributed the generation of these fine structures to the optical near-field interactions induced by the nanoneedles, which accelerated the deposition rate of Zn nanoparticles. To numerically evaluate such effects, the horizontal extent of the structures, or what we call a scale denoted by S, were measured over the entire areas fabricated without light and with light respectively. The structures fabricated without light exhibited a maximum incidence of S around 30 nm. On the other hand, the structures fabricated with light exhibited a quite different incidence pattern with smaller scales and higher populations; for example around 25 times higher populations at S = 5 nm. In other words, smaller-scale structures were generated from larger-scale ones through the light irradiation process, which induced optical near-field interactions. We also observed that the generated fine structures fabricated with light followed a power-law distribution at scales larger than around 6 nm, indicating that fractal structures emerged from non-fractal ones via optical near-field interactions, which would provide additional features besides fabrication of nanostructures.
9:00 PM - KK10.38
Pseudo-wetting Behaviour of Nanostructures Induced by Voltage-pulsed STM.
Paul Campbell 1
1 , University of Dundee, Dundee United Kingdom
Show Abstract9:00 PM - KK10.39
Optimization Constraints in Sonolithography.
Paul Campbell 1 , Paul Prentice 1
1 , University of Dundee, Dundee United Kingdom
Show Abstract9:00 PM - KK10.4
Analysis of Orientation Relationships in Metal-Oxide Interfaces by Bollmann’s O-lattice Theory and Electron Diffraction.
Changhyun Ko 1 , Masaru Tsuchiya 1 , Shriram Ramanathan 1
1 School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts, United States
Show Abstract Microstructure evolution during thin film deposition critically depends on lattice mismatch and film-substrate interfacial energetics among other factors. Epitaxial structures are of great interest for fundamental studies of single crystal thin films and low dimensional structures and also for potential applications in device applications. Examples include epitaxial strained silicon and epitaxial oxides in future transistor and memory devices. Understanding and predicting orientation relationships in hetero-phase interfaces is therefore important to advance the ability to create layered structures in preferred crystallographic directions. Bollmann’s O-lattice theory is an elegant approach to investigate preferred orientation relationships at dissimilar interfaces. In this study, we have performed a detailed analysis of preferred crystallographic orientation relationships in metal-oxide interfaces with particular emphasis on Ni-cubic zirconia system. This is an interesting materials system from both scientific and technological relevance to alternate energy such as fuel cells. Ni-zirconia is being actively investigated for use as anode materials and understanding microstructure evolution during synthesis is an important aspect. We theoretically study the preferred crystallographic orientation of the interface between a model system of cubic zirconia (001) substrate and epitaxial Ni film and compare with experimental observations. Ni films (~ 50-200 nm thickness) were grown on single crystal (001) yttria stabilized zirconia (YSZ) by sputtering. Transmission electron microscopy was performed on these samples both in plan view and cross-section. Lattice images indicated sharp interfaces between Ni and YSZ with no interfacial reaction layers. By analysis of the selected area diffraction pattern taken in plan view along the (001) zone axis, two orientations were observed: 1) Ni(110)//YSZ(100) and Ni[-11-1]//YSZ[01-1] (OR1) and 2) Ni(100)//YSZ(100) and Ni[010]//YSZ[010] (OR2). By comparing intensity of diffraction spots corresponding to each orientation, OR2, a cube-on-cube orientation, was identified as the dominant orientation in the thinner films. These results are correlated to the O-lattice analysis. If misfit dislocations exist in an interface, the structural misfit originated from the difference in the lattice parameter and crystal structure between a substrate and a film can be relieved. The two dimensional interfacial dislocation network structure and corresponding relative interface energy can be predicted by O-lattice theory. We have performed detailed analysis of the interfacial energetics taking into account the Zr and O sub-lattices. These results will be compared to the experimentally observed ORs. Further, we will discuss the effect of film thickness on dominant OR transition.
9:00 PM - KK10.40
Patterning NiO Nano-honeycombs Prepared by Nanosphere Lithography.
Chao-Te Lee 1 , Chi-Chung Kei 1 , Hung Pin Chen 1 , Chien-Nan Hsiao 1
1 Vacuum Technology Division, Instrument Technology Research Center, National Applied Research Laboratories, Hsinchu Taiwan
Show AbstractIn this article patterning NiO nano-honeycombs is demonstrated by solution-based nanosphere lithography with a metal film as mask layer. Patterning NiO nano-honeycombs were fabricated on (100) natural-oxidized silicon wafers. Patterning NiO nano-honeycombs were observed by field emission scanning electron microscopy and atomic force microscopy. These NiO nano-honeycombs have tips at corners of ever hexagon. We found that the optimum pattering is two steps spin-coating and 2% concentration of polymer nanospheres. This result shows that patterning nano-honeycombs is a promising method for high field emission application.
9:00 PM - KK10.41
Controlled Synthesis of 2D Ordered Structures by Electron Irradiation and Heating Decomposition of Polymers.
Eun Je Lee 1 , Sung Oh Cho 1
1 Department of Nuclear and Quantum Engineering, Korea Advanced Institute of Science and Technology, Daejeon Korea (the Republic of)
Show Abstract2-dimensional (2D) ordered structures can be applicable to catalysts, sensors, photonic crystal, microfluidic devices, and so on because of their unique properties based on the morphology, and the size. In this research, various 2D ordered structures, such as network-like structure, star-like structure, and nanodot array, were synthesized by irradiating electron onto the monolayer of polystyrene (PS) nanospheres followed by heating decomposition. Colloidal monolayers of PS nanosphere with the sized of a few hundreds nm were prepared on silicon substrate. PS monolayers were irradiated with an electron beam and subsequently heated in a furnace. C-H bonding in molecular structure of PS can be easily broken by energetic electrons and volatile hydrogen is removed from PS. This phenomenon induces the carbonization of PS nanospheres and the degree of carbonization can be controlled by changing irradiation time and current. Polymeric part, which was not carbonized during electron irradiation, was decomposed by subsequent heating and the degree of decomposition can be also controlled by changing heating time and temperature. By adjusting these parameters, controlled synthesis of various 2D ordered structures was achieved. Pristine PS monolayer had hexagonal close-packed structure. At a given degree of carbonization, as the degree of decomposition increased, network-like structure, star-like structure, and nanodot array were obtained in order. The electron irradiation technique combined with heating decomposition is facile method to synthesize 2D ordered structures. It has good repeatability and structure tunability. In addition, it has an advantage of low cost because no mask and high-cost process are required while lithography, conventional method for the fabrication of 2D ordered structures, is not.
9:00 PM - KK10.42
Hollow ZnO Hemisphere Films Obtained Using Colloidal Micro-particle Templating via Self-assembly Monolayer.
Soohyun Kim 1 , Hee-Dok Choi 2 , Il-Doo Kim 1 , Bum Ku Rhee 2 , Jae-Min Hong 1
1 , Korea Institute of Science and Technology, Seoul Korea (the Republic of), 2 , Sogang University, Seoul Korea (the Republic of)
Show AbstractChemical and physical synthesis routes were combined to prepare macroporous ZnO thin films by RF sputtering onto poly methyl methacrylate (PMMA) microsphere templated substrates. In this study, special attention was given to optimize the uniformity and monolayer dispersion of the PMMA microspheres, resulting in hexagonally closely packed PMMA monolayers. The role of concentration of surfactants, i.e., spreading agent, forming hexagonal arrays at air-liquid interface is studied. After the dispersion of the PMMA microspheres on top of Si substrates, 50-200 nm thick ZnO thin films were deposited by RF sputtering. No heating was applied to the substrates during ZnO film deposition to prevent decomposition of the PMMA templates in the sputtering chamber. Upon subsequent removal of the PMMA template by thermal decomposition during 450°C calcination of ZnO, the residual films exhibits a quasi-ordered array of hollow ZnO hemispheres with diameter commensurate with that of the original PMMA microspheres (800 nm~2.8 μm). Despite the thermal decomposition of the supporting template during the calcination step, no adhesion problems and significant shrinkages were observed due to dense ZnO film formation by physical vapor deposition, i.e, rf-sputtering as well as strong chemical bonds between film and substrate formed during the calcination step at 450°C. This unique morphology increased active surface area while reducing the deleterious interfacial effects between film and substrate leading to substantially enhanced surface activity for various electrochemical applications.
9:00 PM - KK10.44
Atomic Force Microscopy Assisted Nanopatterning of Conjugated Polymer Films.
Suresh Valiyaveettil 2 , Subbiah Jagadesan 2
2 Department of Chemistry, National University of Singapore, 3 Science Drive 3, Singapore, Singapore, Singapore
Show Abstract9:00 PM - KK10.45
Commercially Available High-Throughput Dip Pen Nanolithography®.
Jason Haaheim 1 , Emma Tevaarwerk 1 , Joe Fragala 2 , Roger Shile 2
1 product development, NanoInk, Inc., Skokie, Illinois, United States, 2 MEMS, NanoInk, Inc., Campbell, California, United States
Show AbstractPrecision nanoscale deposition is a fundamental requirement for much of current nanoscience research, and depositing a variety of materials as nanoscale features onto diverse surfaces is a challenging requirement for nanoscale processing systems. Dip Pen Nanolithography® (DPN®) is an inherently additive SPM-based technique which operates under ambient conditions, making it suitable to deposit wide range of biological, organic, and inorganic materials. Further, massively parallel two-dimensional nanopatterning with DPN is now commercially available via NanoInk’s 2D nano PrintArray™, making DPN a high-throughput, flexible and versatile method for precision nanoscale pattern formation. By fabricating 55,000 cantilevers (each with its own nanoscale tip) across a 1 cm^2 chip, we leverage the inherent versatility of DPN and demonstrate large area surface coverage, routinely achieving throughputs of 3x10^7 μm^2 per hour. Further, we have engineered the device to be easy to use, wire-free, and fully integrated with NSCRIPTOR’s scanner, stage, and sophisticated lithography routines. In this talk we discuss the methods of operating this commercially available device, subsequent results showing sub-100 nm feature sizes and excellent uniformity (standard deviation < 16%), uniquely enabled applications, and our continuing development work. Simultaneous multiplexed deposition of a variety of molecules is a fundamental goal of massively parallel 2D nanopatterning, and we will discuss our progress on this front, including ink delivery methods, tip coating, and patterning techniques to generate combinatorial libraries of nanoscale patterns. Another fundamental challenge includes planar leveling of the 2D nano PrintArray, and herein we describe our successful implementation of device viewports and integrated software leveling routines that monitor cantilever deflection to achieve planarity and uniform surface contact. Device viewports also fundamentally enable laser force-feedback, nanoscale alignment and registry, and immediate pattern inspection by imaging. Finally, we will discuss the results of 2D nanopatterning applications such as: 1) rapidly and flexibly generating nanostructures (i.e., Au, Si) via etch resist techniques; 2) chemically directed assembly and patterning templates for either biological molecules (i.e., proteins, viruses, cell adhesion complexes), or inorganics (i.e., carbon nanotubes, quantum dots); and 3) directly writing biological materials.
9:00 PM - KK10.46
Fabrication of Silicon-Siloxane Hybrid Networks via Phase Mask Interference Lithography.
Woo Soo Kim 1 2 , CheongYang Koh 1 2 , Edwin Thomas 1 2
1 Institute for Soldier Nanotechnologies, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractLaser interference lithography techniques are well-suited for the fabrication of 3D photonic-crystal structures. One method is phase mask interference lithography (PMIL) to imprint 3D periodic sub-micro-scale structures within a photosensitive material in a single step exposure (~seconds) with Q-switched laser pulses incident on a 2D conformal phase mask. This is a simple method for fabricating organic photonic-crystal templates in order to create inorganic structures with higher refractive-index contrast, via techniques such as infiltration or CVD. In this study, photonic structures comprised of hybrid silicon coated siloxane networks were fabricated by laser interference lithography via 2D PMIL of photosensitive siloxane followed by plasma-enhanced chemical vapor deposition (PE-CVD) of amorphous-silicon (a-Si). Photonic band gap structures with high refractive index contrast, have a variety of potential uses in photonic and phononic applications.The fabrication of hybrid composite structures is desirable since a-Si has a relatively high refractive index and low loss in the near IR (na-Si >3.5, k < 10-2) and can be deposited at low temperatures (250 oC). Unlike chemically synthesized colloid-based photonic crystals which form fcc-based structures, the presently PMIL fabricated structures are bct-like. We will present simulations of the photonic and phononic properties of a-Si, Siloxane/air and a-Si/air hybrid composites and compare these with experimental results.
9:00 PM - KK10.47
Design and Fabrication of Quasiperiodic and Periodic Structures via Phase Mask Interference Lithography.
Cheong Yang Koh 1 2 , Marcus Dahlem 3 , Ion Bita 2 , Ji-Hyun Jang 1 2 , Eric Ippen 3 , Edwin Thomas 1 2
1 Institute for Soldier Nanotechnologies, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States, 2 Department of Materials Science and Engineering, MIT, Cambridge, Massachusetts, United States, 3 Research Laboratory of Electronics and Department of Electrical Engineering and Computer Science, MIT, Cambridge, Massachusetts, United States
Show AbstractInterference Lithography (IL) based techniques are attractive candidates for high thorough-put fabrication of complex 3-dimensional quasiperiodic and periodic sub-micron structures. Phase mask interference lithography reduces the experimental challenges of conventional IL by incorporating all optical elements into a single diffractive optical element. To date, the prediction of structures obtained from phase masks and the inverse problem of the design of the phase mask for a target structure are not completely solved problems, leaving gaps in rational design rules of phase masks. We offer a route to design, intuitively and effectively, several classes of simple and staggered 3D axial quasiperiodic structures as well as 3D periodic structures by considering the Fourier symmetries of the phase mask. We show that a generalized Fourier-based method allows us to predict and calculate the types of quasiperiodic 3D structures obtainable from 2D quasiperiodic phase masks, which possess high rotational symmetries (>6) incommensurate with conventional translational periodicity, and demonstrate that such a method allows for the controlled design and choice of topologically complex structural motifs into the desired structures. We then corroborate this structure prediction model with near-field scanning optical microscopy (NFSOM) measurements for transparent 2D quasiperiodic phase masks (90nm resolution). This is of particular importance for the fabrication of quasiperiodic structures because their Fourier structure is complex and very sensitive to fabrication conditions. A tandem theoretical design and NFSOM tool aids in identifying target candidate structures before fabrication, ensuring bi-continuity of the photoresist and air networks and other desired structural features. Moreover, this approach offers a more efficient selection process of masks for fabrication of complex quasiperiodic and periodic 3-D submicron structures for photonics, phononics and other applications requiring topologically complex structural motifs. Finally, we fabricate the corresponding 3D structures using phase mask based lithographic methods with pulsed lasers operating at 355nm, in SU-8 epoxy resin and show, with confocal microscopy the good agreement between the theoretically designed structures and the experimentally fabricated structures.
9:00 PM - KK10.48
Nanoscale Pattern Control of Glass Surface by Glass-nanoimprint Technique Using Self-assembled Oxide Mold.
Yasuyuki Akita 1 , Makoto Hosaka 1 , Takahiro Watanabe 1 , Wakana Hara 1 , Akifumi Matsuda 1 , Mamoru Yoshimoto 1
1 Innovative and Engineered Materials, Tokyo Institute of Technology, Yokohama, Kanagawa, Japan
Show AbstractNanoimprint lithography has attracted much attention as one of the most useful fabrication techniques for simple, low-cost, and high-throughput nanopatterning. Nanoimprint replication of nanopatterns with resolutions down to a few nanometers can be obtained in a single step—pressing the nanopatterned mold onto glassy materials, such as polymers and oxide glasses, at temperatures near their glass transition temperatures (Tg). Nanoscale modification of the glass surface has the potential of making high-strength glass substrates for liquid crystal displays and flat glass substrates to improve the adherability between the glass plate and membrane materials. Nanopatterned molds have been mostly fabricated by electron-beam lithography, dry etching, and focused ion-beam etching of Si, SiO2, SiC, and glassy carbon. However, the electron-beam technique needs a complicated equipment and machinery operation for scanning artificial patterns, and therefore not so suitable for making large area molds. On the other hand we have succeeded in making sapphire (a-Al2O3 single crystal) substrates with straight steps and flat terraces (step height: 0.2nm , terrace width: ~80nm) and fabricating NiO thin films on stepped sapphire substrates, which had a straight nanogroove array pattern (height: ~20 nm, width: ~90 nm) on the film surface. This sapphire substrates and NiO thin films with self-assembled nano-pattern are thought to be suitable as nanoimprint mold for oxide glass. Here we report synthesis of self-assembled oxide mold for glass-nanoimprint and several patterns of imprinted glass surface. The atomically stepped sapphire molds were prepared by annealing the commercial sapphire substrate at 1000 °C for 3 h in air. The nanogroove-striped NiO molds were prepared by annealing the epitaxial NiO thin films deposited on atomically stepped sapphire (0 0 0 1) substrates. The epitaxial NiO thin films were fabricated by pulsed laser deposition using KrF excimer laser. Next, by using the atomically stepped sapphire substrates and nanogroove-striped NiO thin films as the molds, nanoimprint was performed with the silicate glass plate having the glass transition temperature (Tg) of 521 °C heated to 600 °C under a pressure of 2 kPa for 60 min in air. The surface patterns of sapphire and NiO molds were successfully transferred to the glass surface. We inverted the position of the mold and glass plate and lowered the cooling rate, which resulted in the formation of the novel nanowave shape on the silicate glass.
9:00 PM - KK10.49
Alternating Patterning of Metal and Insulator by Nanoimprint Lithography for Nanoelectronic Devices.
Mi-Hee Jung 1 , Nak-Jin Choi 2 , Hyoyoung Lee 1
1 National Creative Research Institute, The Center of Smart Molecular Memory, Elctronics and Telecommunications Research Institute, Daejeon Korea (the Republic of), 2 Nano Convergence Sensor Team, IT-NT Group, Electronics and Telecommunications Research Institute , Daejeon Korea (the Republic of)
Show Abstract9:00 PM - KK10.5
Fabrication and Electrical Insulation of Nanopillars Incorporating Silicon Nanocrystals for Optoelectronic Applications.
Lino Eugene 1 2 , Nicolas Pauc 1 , Thierry Baron 3 , Abdelkader Souifi 2 , Vincent Aimez 1 , Dominique Drouin 1
1 Département de Génie électrique et informatique, Centre de Recherche en Nanofabrication et Nanocaractérisation (CRN2), Université de Sherbrooke, Sherbrooke, Quebec, Canada, 2 , Institut des Nanotechnologies de Lyon (INL), UMR-CNRS 5270, INSA De Lyon, Villeurbanne France, 3 , Laboratoire des Technologies de la Microélectronique (LTM), UMR-CNRS 5129, CEA-Grenoble, Leti-DTS, Grenoble France
Show AbstractThere is a strong interest for materials incorporating quantum dots nanostructures thanks to their ability to control the simultaneous flow of a very few number of electrons (or holes) with Coulomb Blockade phenomenon. Silicon nanocrystals (Si-nc) play a significant role in this field for applications such as single electron memories and transistors (SET). An other promising application is the photo-SET or nanopixel, which can detect very low light level. Some devices have been mainly fabricated using III-V quantum dots, but rarely using Si-nc even though the latter material has many advantages for large scale applications requiring optical absorption from UV to near IR.In this work, we used Si-nc obtained by annealing of a non stoechiometric oxide layer (SiOx). The stack is made of one deposited LPCVD layer, sandwiched between two layers of highly doped polysilicon. The thickness of the silicon-rich oxide is 10 nm. We will present our Si-nc nanopillars fabrication process with semi-transparent-top electrodes. We used e-beam lithography and dry etching to obtain columns with different diameters. The electrical insulation of the nanostructures is provided by spinning and etching back a planar photosensitive resist. Semi-transparent contacts are made on the top of the nanopillars. The substrate is used for electrical continuity. Dark current vs. voltage characteristics at 300K of nanopillars will be presented. For a 2µm diameter column, a shoulder appears between 4 and 8 volts. It is attributed to a tunnel current in which the Si-nc participate. Indeed they act like relays in the conduction through the oxide layer before the Fowler-Nordheim regime is reached. For a 100nm diameter column, a succession of 4-5 current peaks is observed between 4 and 8 Volts. As the diameter of the structures is around 100nm, it seems clear that only a few number of Si-nc participate in the conduction. The origin of these peaks can be either the charging of individual nanocrystals or the conduction through discrete energy levels of nanocrystals (resonant tunnelling).Photoinduced currents are under investigation, to demonstrate that the SRO film is promising for optoelectronic applications as photodetection. Temperature dependent current-voltage and transmission electron microscopy are also in progress.
9:00 PM - KK10.50
Patterning of γ-APS Monolayer by Microcontact Printing for Gold Nanoparticle Assembly.
Tae-Ho Yoon 1 , Fevzihan Basarir 1
1 Materials Engineering & Science, Gwangju Institute of Sci. & Tech, Gwangju Korea (the Republic of)
Show AbstractPatterned gold nanoparticle assembly was formed by microcontact printing of γ-APS with patterned PDMS stamp, followed by deposition of gold nanoparticles. First,γ-APS ink solution in toluene was prepared in a dry nitrogen-filled glove box and used for spin coating of patterned PDMS stamp, followed by microcontact printing on a silicon wafer. The conditions such as ink concentration and printing time were optimized in order to afford monolayer of γ-APS. Then, the samples were immersed into the solution of gold nanoparticles for assembly formation on γ-APS coated area. Finally, gold nanoparticle assembly on silicon wafer was characterized by SEM and electrical conductivity measurement as a function of solution concentration, deposition time and particle size.
9:00 PM - KK10.52
An Approach to Six Inches Wafer Size of Microcontact Printing.
Shinichi Handa 1 , Tatsumi Takahashi 2 , Hiroshi Mogi 1 , Atsushi Yaginuma 3 , Hiroshi Ushijima 4 , Fuminobu Satou 4
1 , Japan Chemical Innovation Institute, Tokyo Japan, 2 , Dai Nippon Printing Co., Ltd., Tokyo Japan, 3 , Shin-Etsu Chemical Co., Ltd., Gunma Japan, 4 Photonics Research Institute, National Institute of Advanced Industrial Science and Technology, Tsukuba Japan
Show AbstractMicrocontact printing is based on the fundamental concept and the ultimate definition in the long historical printing technology. This method has great advantage, which is able to produce elaborated patterns in ambient conditions within a relatively short time, in manufacturing semiconductor chips compare to the sophisticated conventional photolithography process. However, microcontact printing is not applied to the production of semiconductor chips commercially, because of the difficulties in printing fine patterns on the wide range area substrate surface. These kinds of problems were solved by the following three procedures; (1) the mother pattern was made by up-to-date Micro Electro Mechanical systems (MEMS) technology on the surface of six inches silicon wafer, (2)poly(dimethysiloxane) (PDMS) stamper materials were modified chemically and physically, (3) both sides of the stampers, which are the patterned side and the backside, were formed precisely to keep parallel.Various Line and Space (L/S) and depth pattern on the surface of silicon wafer were produced as the mother pattern for investigation of optimum layout design rule. Printing the minute lines was required to prepare the low aspect ratio master pattern. The modified PDMS was applied in order to avoid the thermal strain of the master pattern and of the printing instrument for conformal contact. This modified PDMS could also keep the patterning defects to a minimum. For minimizing the eccentrically-located first contact of the stamper to the flat surface of substrate, the whole area contact of the stamper was achieved as simultaneously as possible. Thus both the contact side and its backside should be maintained parallel. For the evaluation of preciseness and reproducibility of printing, analytical standard ink, which is not affected by the surface character, should be used. The rhodamine-B solution, which is able to show the printed pattern by fluorescence microscope, was used as standard ink. The low aspect ratio patterns on the modified PDMS large area stamper and the rhodamine ink were used for microcontact printing to the silicon wafer and the glass substrate. Consequently, the rhodamine patterns of 1 micrometer L/S were observed on the whole area of six inches silicon wafer. This result indicates that the microcontact printing, which uses modified PDMS, is good candidate for fabrication technique of organic semiconductor devices. Therefore, patterning of the electrodes for organic thin film transistor (OTFT) by the microcontact printing, which uses silver nanoparticles and/or PEDOT/PSS as printing ink, is investigated. This work was supported by the Ministry of Economy, Trade and Industry (METI) and New Energy and Industrial Technology Development Organization (NEDO), Japan.
9:00 PM - KK10.53
Analysis of Polymer Behavior in UV Nanoimprint Lithography Process.
Kidon Kim 1 , Jun-ho Jeong 1 , Dae-geun Choi 1 , Eung-sug Lee 1 , Ali Altun 1
1 , Korea Institute of Machinery and Materials, Daejoen Korea (the Republic of)
Show AbstractNanoimprint lithography (NIL) is an emerging method with a resolution of less than 10 nm, a high throughput, and low cost compared to conventional photolithography. Recent studies have improved NIL resolution below 5 nm and to the molecular scale. The UV-NIL process begins with the filling of recessed features. In the filling step, UV curable liquid resin flows into nano/micro scale patterns of a stamp. It is difficult to produce a pattern without trapped air, which is a major defect in UV-NIL process. To minimize air entrapment, the filling process should be done in a vacuum environment. However, vacuum environment is costly. To obtain a sound pattern with no air bubble defects, we need to understand the physical phenomena of the UV-NIL process in detail and to find the optimal conditions such as contact angles between the stamp and the resin, viscosity of resin, and geometrical of patterns. The process conditions at the filling step, in particular, directly influence flow behavior, which determines the accuracy of the final geometry of imprinted features.In this study, through numerical simulation, we present the effects of surface treatment of the stamp and width of a stamp groove on flow behavior at the filling step. We also compare numerical results with experimental results and discuss physical phenomena in the filling stage. Fluid mechanics simulations were preformed using the commercial computational fluid dynamics code CFD-ACE+, based on the finite volume method, to simulate UV-NIL on an Eulerian grid using the volume of the fluid method (VOF) to track the front of the flow. In order to consider surface tension effects, the high-order nonlinear boundary conditions on a fluid surface were imposed on free surfaces.We simulated the resin filling at different contact angles of the stamp and the substrate, and different pattern size. As results of the simulation shows, higher contact angle of the stamp against that of the substrate is not the best solution for obtaining sound patterns. There is optimal range of the contact angle for a good replication and release. The experiments of filling test according to contact angles of the stamp and the substrate were performed to verify the numerical results.
9:00 PM - KK10.55
Molecular Dynamics Simulation of Glass Forming Process with Nanoimprint Lithography.
Kazuhiro Tada 1 , Yoshihisa Kimoto 1 , Masaaki Yasuda 1 , Hiroaki Kawata 1 , Yoshihiko Hirai 1
1 Physics & Electronics Eng., Osaka. Pref. Univ., Sakai Japan
Show AbstractGlasses are promising materials for optical devices from a point of the wide range of refractive index and long-term reliability. Until now, several reports have been published about molding of nano structures. However, it is more difficult to fabricate nano structures on glass materials than on polymer materials because of its fragility. In this study, a molecular dynamics simulation of glass forming process with nanoimprint lithography is proposed in order to analyze molecular behavior and to investigate the molding mechanism for glass materials.We demonstrate the forming process of silica glass by the crystal silicon mold. In the indentation process, the part of glass film beneath the mold is compressed and its density becomes high in the first instance. Then, the molecules in the compressed region are extruded toward the low density region. Finally, the pattern in nanometer scale is successfully formed by de-molding process.This work was supported by the New Energy and Industrial Technology Development Organization (NEDO) of Japan.
9:00 PM - KK10.56
Effect of pH and Solution Conductance on Electrophoretic Directed Assembly of Nanoparticles.
Cihan Yilmaz 1 , Salome Siavoshi 1 , Xugang Xiong 1 , Sivasubramanian Somu 1 , Ahmed Busnaina 1
1 Nanoscale Science and Engineering Center for High Rate Nanomanufacturing, Northeastern University, Boston, Massachusetts, United States
Show Abstract9:00 PM - KK10.57
Synthesis of Connections between Different Nanopores of Anodic Aluminum Oxide.
Juchao Yan 1
1 Department of Physical Sciences, Eastern New Mexico University, Portales, New Mexico, United States
Show Abstract9:00 PM - KK10.6
Ion Implantation-based Spatial Control of Metal Nanoparticles by Perturbing Interactive Fields.
Naoki Kishimoto 1 , Jin Pan 2 1 , Masahide Nakamura 2 1 , Haisong Wang 1 , Yoshihiko Takeda 1
1 Quantum Beam Center, National Institute for Materials Science, Tsukuba, Ibaraki, Japan, 2 Pure and Applied Sciences, University of Tsukuba, Tsukuba, Ibaraki, Japan
Show AbstractIon beam-based techniques offer various possibilities for robust spatial control of nanostructures, either in self-assembled- or in actively controlled manners. In the latter category, possible approaches are not only atom-supply control (e.g., masked implantation, IPL) but also perturbation control of fields interactive with implants (e.g., photon, phonon, mechanical fields). If implanted atoms are rearranged during/after ion implantation by the perturbation, it may become a spatial control method of nanostructures. In this paper, we explore lateral control methods of nanoparticles by perturbing photon(laser) - and stress/strain fields, as well as masked implantation. Metal nanoparticles introduced in insulators are one of the most attractive applications, since the ion implantation technique is inherently suitable for injection of immiscible metal ions into dielectric substrates. Recent studies have revealed fascinating plasmonic functions due to the surface plasmon resonance. Ion implantation into amorphous or crystalline SiO2 was conducted with 60 keV Cu- or 3 MeV Cu2+. The perturbations of interest are laser and nanoindentation as photon and stress/strain fields, respectively. Simultaneous laser irradiation under ion implantation either enhanced or decreased surface plasmon resonance (SPR), i.e., nanoparticle precipitation/growth, depending on ion fluence, photon energy (wavelength) and laser power. Nano/micro-indentation in periodic arrays was carried out on Cu-implanted SiO2 and gave a sign to enhance nanoparticle precipitation. The perturbations interactive with nanoparticle precipitation/dissolution can be used for controlling nanoparticle assembly.
9:00 PM - KK10.7
Self-Assembly of Fluorescent-labeled Streptavidin on DNA Lattices for Protein Detection.
Junping Zhang 1 , Roger Narayan 1
1 Biomedical Engineering, University of North Carolina, Chapel Hill, North Carolina, United States
Show AbstractSelf-assembly of DNA tiling lattices is a predictable, effective way to construct well-defined nanoscale and microscale patterned structures. A wide variety of DNA nanostructures have been constructed by hybridizing single stranded DNA oligonucleotides to form DNA branched-junction building blocks through Watson-Crick base pairing [1-2]. These self-assembled DNA nanostructures offer programmable scaffolds for organizing versatile materials. The successful self-assembly of metal nanoparticles [3], organic materials [4] and bimolecular [5] on DNA nanostructures has led researchers to explore potential applications of DNA nanostructures in biosensors, nanoelectronics and disease diagnostics. We have demonstrated the self-assembly of fluorescent-labeled streptavidin arrays on a two-tile DNA system (tile A and B) for sensitive detection of protein molecules. The two tiles DNA lattice is composed of a cross-shaped DNA structures, which consists of four four-arm DNA branch junctions. Streptavidin, which can bind to biotin with very high affinity and specificity, can be used for sensing biotin. The streptavidin-biotin complex provides the basis for many important biotechnological applications. To template the assembly of streptavidin, a periodic protein array with controlled spatial distance was achieved by modifying the loop DNA oligonucleotides at the center of A tiles with a biotin group. Atomic force microscopy revealed periodic patterns of streptavidin molecules templated on two-dimensional DNA lattices. In order to detect the biotin more efficiently, streptavidin conjugated with fluorescent dye was utilized. The results revealed that fluorescent-labeled streptavidin exhibited much greater fluorescence values after binding with biotin targets. These novel structures highlight the attractiveness of DNA for nanoscale self-assembly.References[1] Yan, H.; Park, S. H.; Finkelstein, G.; Reif, J. H.; LaBean, T. H. Science 2003, 301, 1882-1884.[2] Seeman, N. C. Nature 2003, 421, 427-431.[3] Zhang, J.; Liu, Y.; Ke, Y.; Yan, H. Nano Lett. 2006, 6, 248-251.[4] C. M. Niemeyer,W. Burger and J. Peplies, Angew. Chem., Int. Ed., 1998, 37, 2265-2268.[5] Lin, C.; Katilius, E.; Liu, Y.; Zhang, J.; Yan, H. Angew. Chem., Int. Ed. 2006, 45, 5296-5301.
9:00 PM - KK10.8
Immobilized Microtubules for Digitized Nano-Patterning.
Douglas Chrisey 1 , Cerasela Dinu 1 , Nathaniel Cady 2
1 Dept. of Material Science, Rensselaer Polytechnic Institute, Troy, New York, United States, 2 College of Nanoscale Science and Engineering, University at Albany, Albany, New York, United States
Show AbstractThe rapidly growing fields of nanoscience and nanotechnology have stimulated considerable interest in methods for building structures that have nanometer dimensions. As a result, there is interest in patterning techniques that offer nanometer resolution with experimentally simple set-ups. We have developed a novel approach to form nanopatterns of different biological inks. Our approach is based on a modified bead assay in which microtubules are fixed to an enabling surface, e.g., a proximal probe tip, and motors with different inks bound to them are carried along the fixed track and transferred to the substrate in a step-wise manner under the chemical energy of the ATP molecules. In particular nano patterns are formed by exploiting the processive movement of kinesin and using anti-kinesin antibody to immobilize the transferred motors with bound electronic cargo to the desired locations. Fluorescent microscopy enables the real-time deposition as well as the characterization of the nanopattern formation. With the ability to control the x-y location and digitally deposit electronic cargo, our aim is to explore the possibility of CAD/CAM integration at the systems level to develop next generation of nanodevices with unprecedented control.
9:00 PM - KK10: Posters 2
KK10.43 Transferrred to KK4.29
Show Abstract
Symposium Organizers
Michael J. Aziz Harvard University
Jerrold A. Floro University of Virginia
Stefan G. Mayr Georg-August-Universitaet Goettingen
Christopher C. Umbach Cornell University
KK11: Templating and Nanopositioning I
Session Chairs
Ryan J. Kershner
Petr Kral
Thursday AM, November 29, 2007
Room 304 (Hynes)
9:30 AM - **KK11.1
Non-Covalent Driven Assembly for Directing Particle Organization and Surface Templating.
Paula Hammond 1
1 Chemical Engineering, MIT, Cambridge, Massachusetts, United States
Show AbstractTemplate assisted organization with a physically or chemically patterned substrate has been a promising approach due to its advantages in creating long range order with fewer defects. In particular, electrostatic interactions have proven to be an extremely useful tool in the generation of ordered colloidal assemblies. We have recently begun to combine electrostatic, hydrogen bonding, and specific RNA base pair interactions to extend the range of systems that can be directed to surfaces. A combination of self-assembled monolayers, polymer-on-polymer stamping on submicron length scales, and the use of electrostatic layer-by-layer assembled thin films have been explored as templates for the directed deposition of colloidal particles. In this work, the strong and highly specific hydrogen bonding interactions that take place between poly(phosphonic acids) is being pursued. Such systems will be addressed with respect to selectivity and reversibility of binding interactions that may lead to interesting new properties. We have also begun to use a range of soft lithographic methods, combined with polyelectrolyte multilayer assembly on nanometer scale patterns to direct nanoparticles into regular arrays. The interactions involved in this work, as well as the interplay between hard boundaries due to physical confinement and surface chemistry in template surfaces, will be discussed, and potential applications and new collaborations will be addressed in display, microfluidic and biological applications. Finally, the use of adapted soft lithographic patterning methods to achieve the ordering of M13 phage from the Angela Belcher research group, and the subsequent use of the virus for biomineralization and templating to generate patterned devices will be addressed. Patterning methods and transfer techniques allow the 2D patterning or 3D stacking of the protein coats of the viruses, which can then act as templates to form Au, Co and CoO nanowires that can be used to make a number of interesting electrochemical functions.
10:00 AM - KK11.2
Nanoscale Self-assembly and Nanolithography Applications of Polystyrene-Polydimethylsiloxane Block Copolymers.
Yeon Sik Jung 1 , Caroline Ross 1
1 Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractAlternative patterning methods to create arrays of elements with deep-sub-micron dimensions are of great interest for the fabrication of nanoscale memory architectures such as cross-point memory devices. It is critical to develop patterning technologies that can generate arrays of nanolines for interconnects, in addition to arrays of dots or ellipses for active elements of the devices. Block copolymer nanolithography is a promising method, with the advantages of large area coverage and compatibility with pre-established top-down patterning technologies. The two key issues are how to obtain reliable long-range ordering of features with minimum defect densities, and how to successfully transfer the patterns into other functional materials. We report here the formation of defect-free and robust nanoscale line patterns from poly(styrene-b-dimethylsiloxane) (PS-PDMS). Cylindrical morphology block copolymer films were spin-coated over silicon substrates patterned with shallow steps, and solvent-annealed to induce ordering of the PDMS cylinders in the film plane [1]. The polymer had a molecular weight of 14.5 kg/mol for the PDMS and 31 kg/mol for the PS, giving a pattern with 35 nm periodicity. Treatment with an oxygen plasma removes the PS leaving oxidized PDMS cylinders, 15 nm wide, that provide robust etch masks for subsequent pattern transfer. Moreover, the PDMS line arrays can be oriented either parallel or perpendicular to the substrate step edges by choice of step geometry, substrate pretreatment and solvent annealing conditions, giving excellent control over the geometry of the final nanoscale patterns. Different topographic patterns such as trenches or circles can be used for generating parallel nanowires or concentric rings, respectively. This system is an excellent choice for nanopatterning because of the very high etch resistance of the PDMS block compared with the PS, and the high Flory-Huggins interaction parameter provides a large correlation length (at least a few microns) of the patterns and a low edge roughness. Grazing incidence small angle X-ray scattering (GISAXS) analysis results substantiate the high degree of uniform ordering in the long range. We demonstrate nanopatterning by pattern transfer into metallic and inorganic films such as SiO2, W, Au, Ni, or ZnO to make parallel nanowires, whose average width is 15 nm. Device applications such as gas sensors and memory devices will be presented. [1] YS Jung and CA Ross, Nano Letts., in press (2007)Supplementary Information(a) PDMS cylinders after removal of the PS matrix, showing alignment either parallel or perpendicular to the edges of the steps etched in the substrate, and a concentric pattern in a circular etched feature.(b) Transfer of a pattern from the PDMS (top) into a thermal oxide layer (bottom). The resulting silica pattern has a period of 35 nm and linewidth of 15 nm.
10:15 AM - KK11.3
Selecting and Driving Nanoscale Assembly in Monolayer Films through Tailored Intermolecular Interactions.
Thomas Mullen 1 , Charan Srinivasan 4 , Mitchell Shuster 2 , James Hohman 1 , Mark Horn 4 , Anne Andrews 3 , Paul Weiss 1 2
1 Chemistry, Penn State University, Univeristy Park, Pennsylvania, United States, 4 Engineering Science and Mechanics, Penn State University, Univeristy Park, Pennsylvania, United States, 2 Physics, Penn State University, Univeristy Park, Pennsylvania, United States, 3 Veterinary and Biomedical Sciences, Penn State University, Univeristy Park, Pennsylvania, United States
Show AbstractActive chemical surfaces that selectively capture and separate specific analytes from competitive environments are useful for detecting and isolating complex biological molecules as well as small molecules found in chemical weapons and toxic industrial waste. The development of self- and directed assembly strategies is key to the fabrication of molecularly precise structures for such applications. Even though the dimensions of patterned surface structures have decreased to the sub 100-nm scale via traditional lithographic techniques, it remains difficult to fabricate reproducible structures over larger areas with molecular-scale organization. We have developed, utilized, and evaluated self- and directed nanoscale assembly strategies to fabricate, to register, and to functionalize chemical surface structures at the supramolecular 1-100 nm scale. We will highlight two hybrid soft-lithography strategies – microdisplacement printing and microcontact insertion printing – where monolayers with specifically tuned intermolecular interactions were engineered and the chemical and physical properties of the resulting patterned structures were characterized on both the ensemble and the molecular scales. Additionally, we will demonstrate that these chemical films can be functionalized with small-molecule probes that selectively capture large biomolecules while resisting nonspecific binding.
10:30 AM - KK11.4
Role of Interaction Anisotropy in the Formation and Stability of Molecular Templates.
Uli Weber 1 , Victor Burlakov 1 , David Pettifor 1 , John Jefferson 2 , G. Briggs 1 , Luis Perdigao 3 , R. Fawcett 3 , Peter Beton 3 , Neil Champness 4
1 Materials, University of Oxford, Oxford United Kingdom, 2 , QinetiQ, Malvern United Kingdom, 3 Schools of Physics and Astronomy, University of Nottingham, Nottingham United Kingdom, 4 School of Chemistry, University of Nottingham, Nottingham United Kingdom
Show AbstractSurface templating via self-assembly of hydrogen-bonded molecular networks is a rapidly developing bottom-up approach in nano-technology. With its high selectivity and directionality, hydrogen bonding is particularly suitable for molecular templates, as it enables equilibrium molecular configurations to be achieved at relatively low processing temperatures1-3. In addition, the network morphology can be varied by using binary molecular mixtures with different inter-molecular binding rules imposed by hydrogen-bond generating functional groups8-9. However, due to the relatively low energies of hydrogen bonds, van der Waals interactions between large molecules may interfere with these binding rules, thereby decreasing the degree of directionality or the anisotropy of the molecular coupling. By modelling a prototype of melamine-PTCDI molecular system1-3 using kinetic Monte Carlo simulations, we show that the network stability in the parameter space of temperature versus molecular coupling anisotropy is highly restricted. The theoretical structure stability diagram predicts domains of stability of the open honeycomb network as well as a compact phase and the expected high-temperature disordered phase. The results are in agreement with recent experiments, and also reveal a relationship between the molecular size and the network stability, which may be used to predict an upper limit on pore cavity sizes.References[1] Theobald, J. A., Oxtoby, N. S., Phillips, M. A., Champness, N. R., Beton, P. H. Nature 424, 1029-1031 (2003).[2] Swabrick, J. S., Ma, J., Theobald, J. A., Oxtoby, N. S., O’Shea, J. N., Champness, N. R., Beton, P. H. J. Phys. Chem. B 109, 12167-12174 (2005).[3] Perdigao, L. M. A., Perkins, E. W., Ma, J., Staniec, P. A., Rogers, B. L., Champness N. R., Beton, P. H. J. Phys. Chem. B 110, 12539-12542 (2006).[4] Kelly, R. E. A., Kantorovich, L. N. Surface Science 589, 139-152 (2005).[5] Kelly, R. E. A., Lee, Y. J., Kantorovich, L. N. J. Phys. Chem. 109, 11933-11939 (2005).
10:45 AM - KK11.5
New Methods for the Inclusion of Isolated Spin Centres into Silicon.
Jules Gardener 1 , I. Liaw 1 , S. Heutz 2 , I. Boyd 1 , G. Aeppli 1 , R. Chater 2 , D. McPhail 2 , T. Jones 3 , A. Stoneham 1
1 London Centre for Nanotechnology, University College London, London United Kingdom, 2 Department of Materials, Imperial College, London United Kingdom, 3 Chemistry, University of Wawick, Coventry United Kingdom
Show AbstractThe development of the field of spintronics has sparked a need for the creation of new material systems, and in particular for the preparation of semiconductors containing dopants with unpaired spins. We describe a novel process to enable the controlled implantation of a range of metal atoms into a silicon matrix by using organometallic precursors. Molecular compounds such as metal phthalocyanines are well known to form highly ordered films on a variety of surfaces, including silicon [1]. Our process involves the use of such arrays as templates on passivated silicon surfaces which are subsequently irradiated with vacuum ultra-violet (VUV) excimer photons [2]. As a result, the organic ligand is ruptured with the carbon fragments desorbing from the surface releasing the central metal atoms into the silicon substrate [3]. The implanted metal species can then act as spin centre for, e.g. quantum information processing [4]. This process has been shown to be highly versatile and we have demonstrated its success for a range of metallic species.The metal phthalocyanines discussed here include the copper, manganese and magnesium variants from which highly ordered films are readily created by organic molecular beam deposition (OMBD). After UV irradiation we have successfully demonstrated that the organic ligand is broken by observing the systematic reduction in intensities of the characteristic electronic and vibrational transitions, through electronic absorption and Raman spectroscopies. Notable changes to the morphology of the surface have also been observed by atomic force microscopy (AFM), again highlighting the degradation of the organic matrix. Furthermore, after chemically cleaning the surface to remove the possibility of surface contamination, the bulk silicon matrix has been probed using Secondary Ion Mass Spectroscopy (SIMS) and depth profiles confirm the successful implantation of the metal species. [1] M. Nakamura, H. Tokumoto Surf. Sci. 398 (1998) 143[2] I. W. Boyd, J. Y. Zhang Nucl. Instr. Meth. Phys. Res. B 121 (1997) 349[3] J. Gardener, S. Heutz, I. Liaw, I. W. Boyd, R. Chater, D. McPhail, T. S. Jones, G. Aeppli, A. M. Stoneham manuscript in preparation[3] A. M. Stoneham, A. J. Fisher, P. T. Greenland J. Phys. Cond. Matter 15 (2003) L447
11:30 AM - KK11.6
Small Scale Printing of Proteins for Biomedical Applications.
Mateu Pla-Roca 1 2 , Michael Lee 1 , Ivan Rios-Mondragon 1 , Chris Mills 1 , Javier Fernandez 1 , Elena Martinez 1 , Josep Samitier 1
1 Institute for Bioengineering of Catalonia (IBEC), Barcelona Science Park, Barcelona Spain, 2 Biomedical Engineering Department, McGill University, Montreal, Quebec, Canada
Show AbstractMicro-contact printing (μCP) is an efficient technique for patterning surfaces with biologically active molecules. The ability to produce patterns of these molecules becomes interesting for sensor applications and biomedical applications when the pattern approaches sub-micrometric dimensions. Such dimensions are equivalent to extra cellular matrix (ECM) components or recently developed nanometric sensors.We have used μCP to pattern surfaces with biomolecules, to study both the effect patterns of these molecules have on cell cultures and the possibility of interfacing of the active biomolecules with nanometric sensor apparatus. We have recently described a method for printing biomolecules in a liquid environment, which overcomes a number of problems that occur in conventional μCP. Using a liquid to support the μCP stamp we can use stamps with aspect ratios up to 100; in air the aspect ratio is limited to ~10. By reducing the dimensions of the structures in the stamp, it is hoped that the liquid-supported contact printing will allow us to print sub-micrometer patterns.We have also developed a new technique for nano-contact printing (nCP) using thermoplastic poly(methyl methacrylate) (PMMA) stamps. We have used nanoimprint lithography apparatus to produce nanometric structures in PMMA, which we have then inked with biomolecules. The nanoimprint lithography apparatus has been used to assist with the nCP by applying even pressure to the back-side of the stamp. In this way, we have been able to print Streptavidin on PMMA substrates with 150 nm line width, and prove that Neutravidin printed in the same way remains active. This technique has advantages because the high aspect ratios involved mean it is possible to produce stamps with densely packed structures.We use free-standing, transparent thermoplastic polymers, structured at micro- and nano-dimensions using nanoimprint lithography techniques, as substrates. The use of a freestanding piece of polymer means that the imprinted polymer can be used in applications where the polymers inherent transparency is necessary, e.g. where optical microscopy is required. These surfaces can be used in conjunction with contact printing to examine the effect of chemical and physical cues on cell culture. By developing these techniques further, it is hoped that functionalised surfaces can be produced which contain bioactive molecules at nano-scales, for cell-surface interactions or sensor applications.The work described here has been produced with funding through the EC 6th framework projects CellPROM and DVT-IMP. This work has been generated in the context of the CellPROM project, funded by the EC (contract No. NMP4-CT-2004-500039) and it reflects only the authors’ views.
11:45 AM - KK11.7
Spreading of Multivalent Inks on a Molecular Printboard.
Andras Perl 1 , David Reinhoudt 1 , Jurriaan Huskens 1
1 Molecular Nanofabrication group, University of Twente, Enschede Netherlands
Show AbstractThe concept of molecular printboards, which are self-assembled monolayers functionalized with receptor groups, is suited for studying the dynamics of host-guest binding of multivalent guests on liquid-solid interfaces. The binding properties of the surface functionalities can be predicted and tuned by altering the supramolecular chemistry of the host-guest system and by varying the number of the interactions; the environment of the immobilized functionalities can be arbitrarily set, leading to quantitative understanding of the thermodynamics. While multivalency on surfaces is thermodynamically well described and understood, a detailed kinetic characterization of the system is needed to fully understand and control multivalent host-guest binding on surfaces.The kinetics of the surface spreading of mono-, di- and trivalent adamantyl-terminated fluorescent guest molecules is studied in this work, when their self-assembled monolayers were micro-patterned on the ordered β-cyclodextrin host surface. In situ fluorescence microscopy imaging followed by data processing was used to obtain kinetic details about diffusion of the multivalent guests on the solid multivalent host system. The results showed that the adamantyl-terminated di- and trivalent guest molecules spread on the ordered host surface by a “walking” mechanism, when with the assistance of free β-cyclodextrin molecules from the surrounding solution the adamantyl “legs” are desorbed and adsorbed from and to the surface-attached β-cyclodextrin cavities one after the other. A mesoscopic model was built and successfully used to calculate the rate of the desorption of mono- and multivalently attached molecules.
12:00 PM - KK11.8
Large Scale, High-fidelity Patterning of Functional Self-assembled Monolayers Based on Latex Bead Projection Patterning.
Stephanie Hoeppener 1 , Nicole Herzer 1 , Ulrich Schubert 1 2 , Harald Fuchs 3 , Ulrich Fischer 3
1 Laboratory of Macromolecular Chemistry and Nanoscience, Eindhoven University of Technology, Eindhoven Netherlands, 2 Laboratory of Organic and Macromolecular Chemistry , Friedrich-Schiller-University, Jena Germany, 3 Physikalisches Institut, Westfaelische-Wilhelms Universty, Muenster Germany
Show AbstractChemically structured surfaces providing nanoscale features with different reactive groups are of special interest for nanofabrication. They provide templates for the guided spatial self-organization of a large variety of functional nanomaterials and molecules, e.g. biomolecules, supramolecular complexes or other organic or inorganic nanoparticles with nanometric precision. Therefore the comprehensive fabrication of chemical nanostructures is an area of extensive research[1] especially for the fabrication of large, structured surfaces.We propose a new fabrication scheme that utilizes common lithographic approaches to generate replaceable barrier nanostructures on substrates which serve as high-fidelity masks for the self-assembly of a monolayer of organic molecular layers. Sequential lift-off of the barrier structure and self-assembly steps introduce new functional entities. Further modifications of the functional molecules by chemical reaction schemes can be used to enlarge the chemical diversity of these surfaces. We demonstrate the fabrication of bifunctional chemical nanostructures based on a gold barrier layer obtained by nanosphere lithography[2] that allows to create replaceable barrier structures with sub-50 nm resolution. The remaining surface area was functionalized/passivated with a n-octadecyltrichlorosilane (OTS) monolayer. Subsequent lift-off of the barrier nanostructure creates areas that can be used to self-assemble an additional monolayer of functional molecules, i.e. 11-bromo-undecyltrichlorosilane. As a result, a chemical surface nanostructure was formed consisting of separate areas of OTS and a bromine functionalized monolayers respectively. The bromine function can be employed in a variety of chemical modification steps whereby the nanostructure is preserved. Chemical modification schemes include the click chemistry approaches or the conversion of bromine to thiol. As a proof of principle a reaction scheme to convert bromine to thiol was tested and 6 nm gold nanospheres were attached to the resulting structure which demonstrated besides a very good selectivity of the binding process that the high resolution of the nanosphere patterning could be preserved even during the sequence of 4 subsequent reaction steps, which demonstrates the high-fidelity of the process. 1) R.K. Smith, P.A. Lewis, P.S. Weiss, Prog. Surf. Sci. 2004, 75, 1.D. Wouters, U.S. Schubert, Angew. Chem. Int. Ed. 2004, 43, 2480;C.R. Becer, C. Haensch, S. Hoeppener, U.S. Schubert, Small 2007, 3, 220.2) U.C. Fischer, H.P. Zingsheim, J. Vac. Sci. Technol. 1981, 19, 881. U.C. Fischer, J. Heimel, H.-J. Maas, M. Hartig, S. Hoeppener, H. Fuchs, Surf. Interface Anal. 2002, 33, 75. H.W. Deckman, J.H. Dunsmuir, Appl. Phys. Lett. 1982, 41, 377.J.C. Hulteen, R.P. van Dyne, J. Vac. Sci. Technol. A 1995, 13, 1553.
12:15 PM - KK11.9
Hybrid Nanoimprint Lithography for Micro-nano Mixed Structures.
Keisuke Okuda 1 , Hiroaki Kawata 1 , Yoshihiko Hirai 1
1 Physics & Electronics Eng., Osaka. Pref. Univ., Sakai, Osaka, Japan
Show AbstractNanoimprint lithography (NIL) is expected to fabricate advanced fine and integrated devices because of it’s superiors resolution and cost-effective performance. Not only fine fabrication, but also novel structures such as 3-dimensional structures or curved structures have been demonstrated using NIL. We newly propose novel hybrid NIL, which produces micro and fine-nano deep-micro mixed structure by combination of thermal and UV NIL. First, a fine pattern is fabricated using conventional UV NIL. Then, SU-8 resist is coated on the patterned resist and thermally imprinted to transfer fine patterns by thermal NIL, where the mold has fine structures and Cr mask blanks. After thermal NIL, UV light is irradiated] through mask blanks to transfer micro patterns. After releasing the mold, the resist is developed and nana and micro mixed structure is successfully obtain both lateral and depth directions. We demonstrate 200 nm dot patterns on the top and on the bottom bases of 40 micron wide and 40 depth micro gratings.
12:30 PM - KK11.10
Nanohole and Nanopillar Arrays on GaAs and GaSb Using Nanosphere Lithography and Bromine Ion Beam Assisted Etching.
Xifeng Qian 1 , Jin Li 1 , William Goodhue 1
1 Photonics Center, Physics Department, University of Massachusetts Lowell, Lowell, Massachusetts, United States
Show AbstractNanosphere lithography (NSL) has been successfully used to nanopattern silicon and glass substrate surfaces over the past decade. We report for the first time the formation of periodic arrays of nanoholes and nanopillars in GaAs and GaSb substrates using a combination of NSL, electron beam (E-Beam) evaporation and Bromine Ion Beam Assisted Etching (IBAE). First, charged polystyrene latex nanospheres were diluted in a surfactant and methanol solution that allows the spheres to disperse quickly on hydrophobic substrates such as GaAs and GaSb. Next, the nanosphere solution was spin-coated onto the substrates. Depending on the concentration of the nanosphere solution and the spin speed, either a monolayer or a double-layer of close-packed ordered nanosphere arrays was formed. Using nanospheres as the mask, the substrate was then etched with Br-IBAE system at the elevated temperature to fabricate ordered arrays of nanoholes. Alternatively, a thin layer of aluminum was deposited onto a nanosphere templated sample by E-Beam evaporation and then the nanospheres were lifted off in trichloroethylene with ultrasonics. Using the aluminum nanopattern as the mask, arrays of nanopillars were formed after Br-IBAE. Scanning Electron Microscope (SEM) results show that periodic arrays of approximate 50 nm hexagonal nanoholes and nanopillars were obtained from double-layer nanosphere templates while arrays of approximate 70 nm triangular nanoholes and nanopillars were obtained from monolayer sphere templates respectively when 250 nm diameter polystyrene nanospheres were used. The high uniformity of these nanoholes and nanopillars arrays demonstrates the technique’s potential for applications in the fabrication of novel high performance opto- and electronic devices in the important GaAs and GaSb III-V direct bandgap semiconductors.
12:45 PM - KK11.11
Oriented Film Growth of Organic Semiconductor Sexithiophene on Thermally Oxidized Silicon Substrates with Nanoscale Artificial Periodic Grooves.
Susumu Ikeda 1 , Yasuo Wada 2 , Katsuhiko Inaba 3 , Kazuo Terashima 4 , Toshihiro Shimada 5 , Koichiro Saiki 1 5
1 Department of Complexity Science and Engineering, Graduate School of Frontier Sciences, The University of Tokyo, Kashiwa Japan, 2 Graduate School of Interdisciplinary New Science, Toyo University, Kawagoe Japan, 3 X-ray Research Laboratory, Rigaku Corporation, Akishima Japan, 4 Department of Advanced Materials Science, Graduate School of Frontier Sciences, The University of Tokyo, Kashiwa Japan, 5 Department of Chemistry, School of Science, The University of Tokyo, Bunkyo-ku, Tokyo Japan
Show AbstractGraphoepitaxy, oriented crystal growth on artificial microstructures, in inorganic materials research field was discovered about 30 years ago and has been investigated as a technique to control in-plane orientation of thin films on amorphous substrates. If this technique is also applicable to organic semiconductor thin films, it will improve the performance of organic thin film transistors which are now attracting much attention for realizing plastic electronics. In the present study, we examined the possibility of this organic graphoepitaxy. We fabricated artificial periodic grooves on thermally oxidized silicon substrates by electron beam lithography and dry-etching. The pitch and depth of the grooves were 400 nm and 10 nm, respectively (width of the grooves was 200 nm). The grooved substrate after UV/ozone cleaning was loaded into a ultrahigh vacuum chamber, and an α-sexithiophene (6T; C24H14S6) film was grown by molecular beam deposition on the substrate. The 1-dimensional chain-like pattern of 6T grains was observed along the grooves by atomic force microscopy (AFM). Based on the x-ray diffraction analysis, the out-of-plane structure of the 6T film was almost the same as those grown on flat inert substrates; the a-axis, the longest axis of the monoclinic crystallographic unit cell of 6T, was standing on the substrate. We investigated in-plane orientation of the film by two characterization techniques, AFM in real space and grazing-incidence x-ray diffraction (GIXD) in reciprocal space. Using the facet shapes appearing in AFM images, we determined the directions of b-axes and c-axes of many crystal grains of 6T. These two characterization procedures led to the same result that 6T thin films tend to grow having an orientational relationship with the groove direction; b-axis is parallel to the periodic grooves [1]. Interestingly, further studies revealed that the orientational relationship is changeable depending on chemical condition of substrate surface. The in-plane orientation can be 90° changed by the simple chemical treatment of the grooved surface. Only UV/ozone treatment (hydrophilic condition) makes b-axis ∥ grooves relation and further hexa-methyl-disiloxane (HMDS) modification (hydrophobic condition) causes b-axis ⊥ grooves (c-axis ∥ grooves) relation. This change is probably due to interaction between molecules and groove walls. The UV/ozone-treated surface is terminated by polar groups, while HMDS treated surface is covered with nonpolar (methyl) groups. During nucleation process, molecules choose the most stable crystal plane against the walls depending on the surface condition (chemical species terminating the surface). This orientation controllability is a peculiar characteristic of organic graphoepitaxy, showing its further potentiality in nanoscale pattern formation.[1] S. Ikeda et al. Appl. Phys. Lett. 88, 251905 (2006).
KK12: Templating and Nanopositioning II
Session Chairs
Paula Hammond
Stephanie Hoeppener
Thursday PM, November 29, 2007
Room 304 (Hynes)
2:30 PM - **KK12.1
Site Specific Adsorption of DNA Origami for Hybrid Self-assembly/lithographic Nanofabrication.
Ryan Kershner 1 , Luisa Bozano 1 , Christine Micheel 1 , Paul Rothemund 2 , Marco Bersani 1 , Jennifer Cha 1 , Ann Fornof 1 , Jane Frommer 1 , David Hoffman 1 , Charlie Rettner 1 , Gregory Wallraff 1
1 , IBM Almaden Research Center, San Jose, California, United States, 2 , California Institute of Technology, Pasadena, California, United States
Show Abstract3:00 PM - KK12.2
Replicating DNA Nanoarrays via Supramolecular NanoStamping.
Ozge Akbulut 1 , Ryan Bennett 2 , Robert Cohen 2 , Francesco Stellacci 1
1 DMSE, MIT, Cambridge, Massachusetts, United States, 2 Department of Chemical Engineering, MIT, Cambridge, Massachusetts, United States
Show Abstract3:15 PM - KK12.3
Electrostatic-Directed Deposition of Nanoparticles on a Field Generating Substrate.
Takumi Hawa 1 2 , De-Hao Tsai 1 2 , Hung-Chih Kan 3 , Raymond Phaneuf 3 , Michael Zachariah 1 2
1 Process Measurements Division, NIST, Gaithersburg, Maryland, United States, 2 Mechanical, Chemistry and Biochemistry, University of Maryland, College Park, Maryland, United States, 3 Material Science and Engineering, University of Maryland, College Park, Maryland, United States
Show AbstractFunctional nanoparticles have been widely considered as the building blocks of potential micro- and nano-scale electronic, optoelectronic devices and gas sensors [1, 2]. For many applications of nanoparticles, in for example sensors or other electronic devices the precise positioning for integration into a working device becomes a considerable challenge. The production of nanoparticles using gas phase methods has the advantage of a clean, continuous process, which can be operated at atmospheric conditions without requiring any vacuum environment or solvent medium [1]. An additional advantage is that charge can be readily placed on nanoparticles, which can be used both to conduct size selection or filtration, and to direct deposition through the implementation of electric fields. We demonstrate a new assembly method to position Ag nanoparticles delivered from the gas phase onto surfaces using the electrostatic force generated by biased p-n junction patterned substrates [3]. The choice of silver was based on an eventual goal of using these particles for surface plasmon resonance (SPR) bio-sensing devices [2, 4]. Aligned deposition patterns of size-selective Ag nanoparticles generated by the aerosol process were observed, and the patterning selectivity quantified. Furthermore, we have developed a trajectory model applied for positioning metal nanoparticles from the gas phase onto electrostatic-patterns generated by biasing P-N junction substrates. Brownian motion of nanoparticles as well as the interactions between the charged nanoparticles and the patterned substrate, including electrostatic force, image force and van der Waals force, has been taken into account during simulation. Besides, a convective flow parallel to the substrate surface has also been included in the particle trajectory. The simulation results were compared with experimental observations of silver nanoparticle patterns. The high coverage selectivity observed for Ni nanoparticles [3] was also achieved by Ag nanoparticles, indicating that this method was applicable to a variety of metal nanoparticles. We have also investigated the effects of the particle size, electric field intensity, and the convective flow through both experiments and simulations. A non-dimensional analysis of the competition between the electrostatic force and the diffusion force was found to provide a good strategy to determine optimum conditions for this assembly process.
3:30 PM - KK12.4
Site Selective Assembly of Nanoparticles.
Salome Siavoshi 1 , Sivasubramanian Somu 1 , Cihan Yilmaz 1 , Ahmed Busnaina 1
1 , Northeastern University, Boston, Massachusetts, United States
Show AbstractWe have developed a fast and effective directed assembly technique using sequential electrophoresis to selectively assemble nanoparticles with different sizes into trenches on the same substrate over a large area. This technique allows particles of different composition or functionalization to be assembled in designed patterns on the same substrate and can be used for biosensing applications. A study on the effects of a parameter space that includes; applied voltage, assembly time, trench and particle size, as well as substrate material is presented.
3:45 PM - KK12.5
Rapid Ordering of High Charge-density Oppositely Charged Colloids.
Vyom Sharma 1 , Yet-Ming Chiang 1 , Craig Carter 1
1 Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts, United States
Show AbstractOrdered assemblies of oppositely charged colloidal particles present enormous technological benefits when compared to their charge stabilized counterparts. They have theoretically [1] and experimentally [2, 3] been shown to exhibit a wider array of novel ordered structures that are otherwise inaccessible to a charge-stabilized system of colloidal particles. However these structures are stable only in suspension and for very weakly charged colloids. When the magnitude of the surface charge density is very large, it has proven extremely difficult to produce extended ordered regions from oppositely charged colloids. In this talk, we demonstrate a fast and efficient layer-by-layer technique for production of ordered regions from high charge-density oppositely charged particles. This method is flexible and works for a range of charge densities and size ratios. We will describe the experimental setup and present supporting calculations which show that this layer-by-layer technique can produce stable long-range ordering for oppositely charged colloidal systems with high charge densities. [1] Garry R. Maskaly. Attractive Electrostatic Self-Assembly of Ordered and Disordered Heterogenous Colloids. PhD thesis, Massachusetts Institute of Technology, 2005.[2] Paul Bartlett and Andrew I. Campbell. Three-dimensional binary superlattices of oppositely charged colloids. PRL, 95:128302, 2005. [3] Mirjam E. Leunissen et al. Ionic colloidal crystals of oppositely charged ionic colloidal crystals of oppositely charged particles. Nature, 437:235, 2005.
4:30 PM - KK12.6
Hexagonally Ordered Arrays of SiO2 Nanorods with Mesochannels Aligned along the Longitudinal Axes Templated from Diblock Copolymer Thin Films.
Chen Aihua 1 , Komura Motonori 1 , Kamata Kaori 1 , Iyoda Tomokazu 1
1 , Tokyo Institute of Technology, Yokohama Japan
Show AbstractMesoporous silica thin films have received much attention in applications as diverse as separation devices, sensors, and optoelectronic devices. A sol-gel reaction in anodic alumina membranes (AAM) as a template has been proposed to fabricate mesoporous silica film with mesochannels perpendicular to a substrate. However, to date, the preparation of ordered arrays of mesoporous materials with mesochannels aligned along the longitudinal axes by using orientation-controlled templates still remains a synthetic challenge. Recently, our group developed a new series of amphiphilic liquid crystalline diblock copolymers consisting of poly(ethylene oxide) (PEO) and poly(methacrylate) bearing an azobenzene mesogen in the side chain, denoted PEOm-b-PMA(Az)n.1 Furthermore, we have demonstrated normally aligned and hexagonally arranged PEO nanocylinder array structures in their thin film through microphase separation after heat treatment.2 Therefore, the normally aligned PEO cylindrical domains in PEOm-b-PMA(Az)n thin film can be regarded as scaffolds that define highly ordered arrays of nanometer-scale reaction vessels. When the PEOm-b-PMA(Az)n thin film is immersed in a precursor solution containing cetyltrimethylammonium bromide (CTAB) and tetraetoxysilane (TEOS), the silicate-surfactant nanocomposite would be assembled in the PEO cylindrical domains. After removal of the templates by calcination, a mesoporous silica nanorod array would be obtained.A hexagonally ordered SiO2 nanorod array had been formed confirmed by FE-SEM images. The aspect ratio of the SiO2 nanorods can be controlled by the immersion time and the PEOm-b-PMA(Az)n film thickness. From the TEM image, mesochannels can be observed clearly aligned along the longitudinal axes with diameter of ~ 2 nm. Both the block copolymer film and the CTAB surfactant play important roles as the primary templates for the hexagonal arrangement of the nanorods and the secondary template of the mesochannels inside the nanorods to form this hierarchically ordered nanostructure.References:(1)Tian, Y.; Watanabe, K.; Kong, X.; Abe, J.; Iyoda, T. Macromolecules 2002, 35, 3739.(2)Watanabe, K.; Yoshida, H.; Tian, Y.; Asaoka, S.; Iyoda, T. Trans. Mater. Res. Soc. Jpn., 2003, 28, 553.
4:45 PM - KK12.7
In situ TEM Investigation of Drying-mediated Self-assembly of Nanocrystals.
H. Zheng 1 2 3 , S. Claridge 3 , A. Minor 1 2 , U. Dahmen 1 2 , A. Alivisatos 1 2 3
1 National Center for Electron Microscopy, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 2 Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California, United States, 3 Department of Chemistry, University of California at Berkeley, Berkeley, California, United States
Show AbstractWhen a liquid that contains nanocrystals evaporates, a variety of intricate patterns and nanoscale clusters may form. The diffusivity of a nanocrystal and the interaction between nanocrystals in a thin-film of solution are important factors in the non-equilibrium process of drying-mediated self-assembly. Using our custom-designed and microfabricated self-containing liquid cells for the transmission electron microscope (TEM), we can directly image the dynamic motion of nanocrystals in a thin film of aqueous solution. The thermally induced motion of individual 5nm gold nanoparticles and their interaction during the later stages of drying have been recorded. We have observed a dramatic difference in the dynamic behavior of the nanocrystals when they are confined in a liquid thin film as compared to that in the bulk liquid. Additionally, we have also studied the interaction between the nanoparticles and the drying morphologies as a function of nanoparticle concentration. Despite the nature of the non-equilibrium evaporation process, some generically important factors such as diffusivity, particle size, solution concentration, and surface preparation can be studied systematically and their effect on the self-assembly will be discussed based on our observations.
5:00 PM - KK12.8
Modeling the Self-assembly of Nanoparticle and Nanorod Superlattices.
Petr Kral 1 , Alexey Titov 1
1 Chemistry, University of Illinois at Chicago, Chicago, Illinois, United States
Show AbstractRecently, we have successfully described the observed single hexagonal structures (sh) in superlattices formed by monodisperse PbSe, PbTe and other semiconducting nanoparticles (NPs) [1]. Beyond the van der Waals interaction, the modeling incorporates the non-local electric dipoles of the NPs that are reflected in the conducting substrate. This stepturns out to be essential for the sh-structure self-assembly. Here we extend this model to multipoles, which we study on a more microscopiclevel, and use it to explain the vertically aligned self-assembly of monodisperse CdSe and CdS nanorods with diameters of 10nm and length about 50nm [2]. We also present an analogous model of binary metallicand semiconducting NP superlattices that agree with the experimentally observed structures [3].[1] D. V. Talapin, E. V. Shevchenko, C. B. Murray, A. Titov, and P. Kral, Nano Letters 7, 1213 (2007); also Science 316, 342 (2007).[2] K. M. Ryan, A. Mastroianni, K. A. Stancil, H. T. Liu, and A. P. Alivisatos, Nano Lett. 6, 1479 (2006).[3] E. V. Shevchenko, D. V. Talapin, N. A. Kotov, S. O'Brien, and C. B. Murray, Nature 439, 55 (2006).
5:15 PM - KK12.9
The Formation Process of CdSe/Au Binary Nanoparticle Superlattices.
Chenguang Lu 1 , Stephen O'Brien 1 , Zhuoying Chen 1
1 , Columbia Univeristy, New York, New York, United States
Show AbstractBy investigating the clustering patterns of CdSe/Au nanoparticle mixture on TEM grids after solvent evaporation, the formation process of CdSe/Au binary nanoparticle superlattices was studied. 1-dodecanethiol was found to be critical in making the superlattices. The role that the thiol played was investigated under various concentrations. Large areas of superlattices were formed from CdSe/Au nanoparticle pairs of different size ratios. The effect of nanoparticle size ratio on superlattice symmetry was discussed.
5:30 PM - KK12.10
Direct-Write of Gold Nanoparticles Using Dip-Pen Nanolithography.
Wechung Wang 1 , Shuhong Liu 2 , Zhenan Bao 1
1 Chemical Engineering, Stanford University, Stanford, California, United States, 2 Materials Science and Engineering, Stanford University, Stanford, California, United States
Show AbstractThe patterned assembly of metal nanoparticles has garnered much research interest due to their unique electrical and optical properties, as well as their potential applications in nanodevices for biosensing. A promising method for creating nanoscale patterns of gold nanoparticles is dip-pen nanolithography. This technique uses a scanning probe to deposit inks such as small molecules, polymers and nanoparticles on various substrates with nanoscale resolution. Our study focuses on the direct writing of gold nanoparticles via electrostatic interactions with modified silicon oxide substrates. Adequate inking of cantilever tips was found to be crucial for reproducible nanoparticle deposition. Different inking protocols were tested with various solvent systems, and inked tips were subsequently imaged by scanning electron microscopy to determine ink coverage and gain insight into the deposition process. Variables such as writing speeds and relative humidity were optimized to obtain uniform structures. Resultant gold nanoparticle patterns were characterized by atomic force microscopy. Applications of these nanoparticle patterns are discussed.
5:45 PM - KK12.11
Large-Scale Hierarchical Self-Assembly Structure from Gold Nanoplates.
Nam-Jung Kim 1 , Hao Li 1
1 Mech & Aerospace, Univ. of Missouri-Columbia, Columbia, Missouri, United States
Show AbstractSelf-assembly or spontaneous organization is one of the most effective and versatile methods to produce the multiple-scale, complex patterns which may not be realized through the conventional top-down lithographical approach. Nanodots (0-D) and nanorods/nanowires (1-D) are frequently utilized as the building blocks in order to present the nanoparticle superlattice and ordered array of nanorods by adequately controlling interfacial interactions among the basic assembly units. Despite the recent intensified research efforts, however, the variety of ordering is very limited and the relevant range of the ordered structure still needs to be improved in the low-dimensional systems. It is essential to increase the morphological length scale of the final self-assembly structure beyond microns where the current industrial patterning technology is suitably applied. In the light of designing the complex pattern spanning from nano- to macro-scale, we demonstrate the large-scale hierarchical, complex self-assembly patterns from stacking gold nanoplates (2-D) for the first time. The underlying mechanism of pattern formation during the droplet deposition may not be trivial due to the combined property of particle diffusion and crystalline symmetry on nanoplate stacking. To elucidate the physical origin of the unique self-assembly behavior, the dendritic solidification at micrometer scale and anisotropic crystal growth at nanometer scale are discussed. It is found that both the stacking order and the regular shape of nanoplates are crucial for the metallic dendrite formation.